Index of /alpine/edge/testing/riscv64
Name
Last modified
Size
Description
Parent Directory
-
php85-pecl-ev-1.2.3-r0.apk
2026-01-27 20:41
43K
php84-pecl-ev-1.2.3-r0.apk
2026-01-27 20:41
42K
php83-pecl-ev-1.2.3-r0.apk
2026-01-27 20:41
42K
APKINDEX.tar.gz
2026-01-27 20:41
625K
protoc-gen-openapi-0.4.0-r0.apk
2026-01-27 18:54
4.4M
gnome-mahjongg-lang-49.1.1-r0.apk
2026-01-27 17:47
172K
gnome-mahjongg-doc-49.1.1-r0.apk
2026-01-27 17:47
1.9K
gnome-mahjongg-49.1.1-r0.apk
2026-01-27 17:47
2.4M
dacnis-doc-0.2.1-r0.apk
2026-01-27 16:15
2.1K
dacnis-0.2.1-r0.apk
2026-01-27 16:15
2.1M
arahli-doc-0.1.5-r0.apk
2026-01-27 16:12
2.4K
arahli-0.1.5-r0.apk
2026-01-27 16:12
1.0M
b2-tools-pyc-4.5.1-r0.apk
2026-01-27 16:01
139K
b2-tools-4.5.1-r0.apk
2026-01-27 16:01
74K
acmeleaf-doc-0.2.2-r0.apk
2026-01-27 14:33
5.1K
acmeleaf-0.2.2-r0.apk
2026-01-27 14:33
4.9M
mkbrr-1.20.0-r0.apk
2026-01-27 14:23
4.0M
mapnik-doc-4.1.4-r2.apk
2026-01-27 14:23
143K
mapnik-dev-4.1.4-r2.apk
2026-01-27 14:23
487K
mapnik-4.1.4-r2.apk
2026-01-27 14:23
13M
hunspell-ca-es-3.0.7-r1.apk
2026-01-27 14:23
734K
tempo-vulture-openrc-2.10.0-r0.apk
2026-01-27 12:44
1.7K
tempo-vulture-2.10.0-r0.apk
2026-01-27 12:44
18M
tempo-query-2.10.0-r0.apk
2026-01-27 12:44
6.5M
tempo-openrc-2.10.0-r0.apk
2026-01-27 12:44
1.6K
tempo-cli-2.10.0-r0.apk
2026-01-27 12:44
22M
tempo-2.10.0-r0.apk
2026-01-27 12:44
31M
zrepl-zsh-completion-0.6.1-r17.apk
2026-01-27 04:17
1.7K
zrepl-openrc-0.6.1-r17.apk
2026-01-27 04:17
1.5K
zrepl-bash-completion-0.6.1-r17.apk
2026-01-27 04:17
4.2K
zrepl-0.6.1-r17.apk
2026-01-27 04:17
6.6M
yubikey-agent-0.1.6-r18.apk
2026-01-27 04:17
1.9M
ytt-0.52.1-r3.apk
2026-01-27 04:17
4.5M
yamldiff-doc-0.3.0-r4.apk
2026-01-27 04:17
2.0K
yamldiff-0.3.0-r4.apk
2026-01-27 04:17
1.6M
yaegi-0.16.1-r14.apk
2026-01-27 04:17
7.1M
xwayland-satellite-0.8-r0.apk
2026-01-27 04:17
1.4M
xone-src-0.5.2-r0.apk
2026-01-27 04:17
58K
xmpp-dns-0.2.4-r30.apk
2026-01-27 04:17
1.9M
wtfutil-0.43.0-r19.apk
2026-01-27 04:17
19M
wl-gammarelay-0.1.3-r5.apk
2026-01-27 04:17
1.6M
wifitui-doc-0.10.0-r0.apk
2026-01-27 04:17
2.0K
wifitui-0.10.0-r0.apk
2026-01-27 04:17
2.1M
wgcf-zsh-completion-2.2.29-r2.apk
2026-01-27 04:17
3.8K
wgcf-fish-completion-2.2.29-r2.apk
2026-01-27 04:17
4.1K
wgcf-bash-completion-2.2.29-r2.apk
2026-01-27 04:17
5.8K
wgcf-2.2.29-r2.apk
2026-01-27 04:17
4.5M
webtunnel-0.0.2-r7.apk
2026-01-27 04:17
3.6M
webhookd-openrc-1.20.2-r6.apk
2026-01-27 04:17
2.0K
webhookd-doc-1.20.2-r6.apk
2026-01-27 04:17
2.0K
webhookd-1.20.2-r6.apk
2026-01-27 04:17
3.2M
warpinator-nemo-2.0.3-r0.apk
2026-01-27 04:17
4.3K
warpinator-lang-2.0.3-r0.apk
2026-01-27 04:17
272K
warpinator-2.0.3-r0.apk
2026-01-27 04:17
224K
warp-s3-1.4.0-r0.apk
2026-01-27 04:17
7.8M
walk-doc-1.13.0-r9.apk
2026-01-27 04:17
2.0K
walk-1.13.0-r9.apk
2026-01-27 04:17
3.0M
virter-zsh-completion-0.29.0-r6.apk
2026-01-27 04:17
3.8K
virter-fish-completion-0.29.0-r6.apk
2026-01-27 04:17
4.1K
virter-doc-0.29.0-r6.apk
2026-01-27 04:17
15K
virter-bash-completion-0.29.0-r6.apk
2026-01-27 04:17
5.8K
virter-0.29.0-r6.apk
2026-01-27 04:17
5.7M
virtctl-zsh-completion-1.6.2-r2.apk
2026-01-27 04:17
3.8K
virtctl-fish-completion-1.6.2-r2.apk
2026-01-27 04:17
4.1K
virtctl-bash-completion-1.6.2-r2.apk
2026-01-27 04:17
4.9K
virtctl-1.6.2-r2.apk
2026-01-27 04:17
15M
vals-0.42.4-r2.apk
2026-01-27 04:17
36M
vali-dev-0.1.1-r0.apk
2026-01-27 04:17
4.0K
vali-0.1.1-r0.apk
2026-01-27 04:17
30K
usql-0.19.26-r2.apk
2026-01-27 04:17
43M
upterm-zsh-completion-0.17.0-r2.apk
2026-01-27 04:17
3.8K
upterm-server-openrc-0.17.0-r2.apk
2026-01-27 04:17
1.7K
upterm-server-0.17.0-r2.apk
2026-01-27 04:17
6.5M
upterm-doc-0.17.0-r2.apk
2026-01-27 04:17
6.8K
upterm-bash-completion-0.17.0-r2.apk
2026-01-27 04:17
5.3K
upterm-0.17.0-r2.apk
2026-01-27 04:17
6.9M
up-0.4-r35.apk
2026-01-27 04:17
1.2M
undock-0.10.0-r6.apk
2026-01-27 04:17
9.9M
tuios-doc-0.6.0-r0.apk
2026-01-27 04:17
7.5K
tuios-0.6.0-r0.apk
2026-01-27 04:17
3.9M
tty-share-2.4.0-r24.apk
2026-01-27 04:17
3.7M
tty-proxy-0.0.2-r34.apk
2026-01-27 04:17
2.5M
trivy-0.68.2-r1.apk
2026-01-27 04:17
66M
transito-doc-0.10.0-r1.apk
2026-01-27 04:17
756K
transito-0.10.0-r1.apk
2026-01-27 04:17
8.4M
tqm-1.18.0-r1.apk
2026-01-27 04:17
4.5M
tonutils-reverse-proxy-doc-0.4.6-r5.apk
2026-01-27 04:17
3.0K
tonutils-reverse-proxy-0.4.6-r5.apk
2026-01-27 04:17
4.3M
tmpl-doc-0.4.0-r17.apk
2026-01-27 04:17
2.0K
tmpl-0.4.0-r17.apk
2026-01-27 04:17
2.6M
timoni-zsh-completion-0.23.0-r11.apk
2026-01-27 04:17
3.8K
timoni-fish-completion-0.23.0-r11.apk
2026-01-27 04:17
4.1K
timoni-doc-0.23.0-r11.apk
2026-01-27 04:17
338K
timoni-bash-completion-0.23.0-r11.apk
2026-01-27 04:17
7.7K
timoni-0.23.0-r11.apk
2026-01-27 04:17
23M
ticker-zsh-completion-5.0.7-r8.apk
2026-01-27 04:17
3.8K
ticker-fish-completion-5.0.7-r8.apk
2026-01-27 04:17
4.1K
ticker-bash-completion-5.0.7-r8.apk
2026-01-27 04:17
5.9K
ticker-5.0.7-r8.apk
2026-01-27 04:17
3.4M
tfupdate-doc-0.8.2-r12.apk
2026-01-27 04:17
2.0K
tfupdate-0.8.2-r12.apk
2026-01-27 04:17
4.8M
tenv-zsh-completion-4.9.0-r2.apk
2026-01-27 04:17
3.8K
tenv-fish-completion-4.9.0-r2.apk
2026-01-27 04:17
4.1K
tenv-bash-completion-4.9.0-r2.apk
2026-01-27 04:17
5.8K
tenv-4.9.0-r2.apk
2026-01-27 04:17
9.3M
templ-0.3.960-r2.apk
2026-01-27 04:17
5.3M
telegram-tdlib-static-1.8.60-r0.apk
2026-01-27 04:17
38M
telegram-tdlib-dev-1.8.60-r0.apk
2026-01-27 04:17
204K
telegram-tdlib-1.8.60-r0.apk
2026-01-27 04:17
9.1M
telegram-bot-api-9.3-r0.apk
2026-01-27 04:17
8.9M
tanka-0.35.0-r2.apk
2026-01-27 04:17
6.9M
tangctl-0_git20241007-r10.apk
2026-01-27 04:17
2.6M
stern-zsh-completion-1.33.0-r2.apk
2026-01-27 04:17
3.8K
stern-fish-completion-1.33.0-r2.apk
2026-01-27 04:17
4.1K
stern-bash-completion-1.33.0-r2.apk
2026-01-27 04:17
5.6K
stern-1.33.0-r2.apk
2026-01-27 04:17
18M
stayrtr-openrc-0.6.3-r2.apk
2026-01-27 04:17
1.7K
stayrtr-0.6.3-r2.apk
2026-01-27 04:17
11M
stacker-doc-1.1.3-r2.apk
2026-01-27 04:17
15K
stacker-1.1.3-r2.apk
2026-01-27 04:17
23M
sshsrv-1.0-r18.apk
2026-01-27 04:17
1.0M
sshm-doc-1.10.0-r1.apk
2026-01-27 04:17
2.0K
sshm-1.10.0-r1.apk
2026-01-27 04:17
3.8M
ssh-get-id-doc-0.2.0-r1.apk
2026-01-27 04:17
2.0K
ssh-get-id-0.2.0-r1.apk
2026-01-27 04:17
2.3M
speedtest-go-openrc-1.1.5-r21.apk
2026-01-27 04:17
1.5K
speedtest-go-doc-1.1.5-r21.apk
2026-01-27 04:17
4.2K
speedtest-go-1.1.5-r21.apk
2026-01-27 04:17
5.4M
spacectl-zsh-completion-1.12.0-r7.apk
2026-01-27 04:17
1.5K
spacectl-fish-completion-1.12.0-r7.apk
2026-01-27 04:17
6.8K
spacectl-doc-1.12.0-r7.apk
2026-01-27 04:17
2.0K
spacectl-bash-completion-1.12.0-r7.apk
2026-01-27 04:17
1.8K
spacectl-1.12.0-r7.apk
2026-01-27 04:17
5.6M
sos-0.8-r37.apk
2026-01-27 04:17
2.7M
sonicradio-0.8.12-r1.apk
2026-01-27 04:17
4.3M
snippets-ls-0.0.4_git20240617-r11.apk
2026-01-27 04:17
1.3M
sipexer-1.2.0-r9.apk
2026-01-27 04:17
2.8M
shntool-doc-3.0.10-r6.apk
2026-01-27 04:17
10K
shntool-3.0.10-r6.apk
2026-01-27 04:17
66K
serie-doc-0.5.7-r0.apk
2026-01-27 04:17
8.1K
serie-0.5.7-r0.apk
2026-01-27 04:17
1.0M
semaphoreui-zsh-completion-2.16.37-r2.apk
2026-01-27 04:17
3.8K
semaphoreui-openrc-2.16.37-r2.apk
2026-01-27 04:17
1.8K
semaphoreui-fish-completion-2.16.37-r2.apk
2026-01-27 04:17
4.1K
semaphoreui-doc-2.16.37-r2.apk
2026-01-27 04:17
2.1K
semaphoreui-bash-completion-2.16.37-r2.apk
2026-01-27 04:17
5.8K
semaphoreui-2.16.37-r2.apk
2026-01-27 04:17
16M
secsipidx-libs-1.3.2-r18.apk
2026-01-27 04:17
2.4M
secsipidx-dev-1.3.2-r18.apk
2026-01-27 04:17
5.5M
secsipidx-1.3.2-r18.apk
2026-01-27 04:17
2.7M
scalingo-1.30.0-r16.apk
2026-01-27 04:17
5.3M
satellite-openrc-1.0.0-r34.apk
2026-01-27 04:16
1.6K
satellite-doc-1.0.0-r34.apk
2026-01-27 04:16
2.8K
satellite-1.0.0-r34.apk
2026-01-27 04:16
2.2M
s5cmd-2.3.0-r9.apk
2026-01-27 04:16
5.0M
ruby-dry-inflector-doc-1.3.1-r0.apk
2026-01-27 04:16
2.0K
ruby-dry-inflector-1.3.1-r0.apk
2026-01-27 04:16
8.0K
ruby-byebug-13.0.0-r0.apk
2026-01-27 04:16
126K
rollup-doc-4.55.2-r0.apk
2026-01-27 04:16
4.0K
rollup-4.55.2-r0.apk
2026-01-27 04:16
1.1M
rmtfs-udev-1.1.1-r2.apk
2026-01-27 04:16
1.4K
rmtfs-systemd-1.1.1-r2.apk
2026-01-27 04:16
1.6K
rmtfs-openrc-1.1.1-r2.apk
2026-01-27 04:16
1.9K
rmtfs-doc-1.1.1-r2.apk
2026-01-27 04:16
2.1K
rmtfs-1.1.1-r2.apk
2026-01-27 04:16
12K
rke-doc-1.4.3-r21.apk
2026-01-27 04:16
2.7K
rke-1.4.3-r21.apk
2026-01-27 04:16
19M
rescrobbled-openrc-0.8.0-r0.apk
2026-01-27 04:16
1.4K
rescrobbled-doc-0.8.0-r0.apk
2026-01-27 04:16
13K
rescrobbled-0.8.0-r0.apk
2026-01-27 04:16
660K
remco-openrc-0.12.5-r6.apk
2026-01-27 04:16
1.4K
remco-doc-0.12.5-r6.apk
2026-01-27 04:16
2.0K
remco-0.12.5-r6.apk
2026-01-27 04:16
9.5M
regclient-0.11.1-r1.apk
2026-01-27 04:16
13M
regal-zsh-completion-0.36.1-r2.apk
2026-01-27 04:16
3.8K
regal-fish-completion-0.36.1-r2.apk
2026-01-27 04:16
4.1K
regal-bash-completion-0.36.1-r2.apk
2026-01-27 04:16
5.8K
regal-0.36.1-r2.apk
2026-01-27 04:16
12M
quickemu-doc-4.9.8-r0.apk
2026-01-27 04:16
24K
quickemu-4.9.8-r0.apk
2026-01-27 04:16
58K
qbittorrent-cli-2.2.0-r6.apk
2026-01-27 04:16
5.5M
qadwaitadecorations-0.1.7-r2.apk
2026-01-27 04:16
48K
pyonji-0.1.0-r12.apk
2026-01-27 04:16
2.8M
py3-xsdata-pyc-26.1-r0.apk
2026-01-27 04:16
391K
py3-xsdata-26.1-r0.apk
2026-01-27 04:16
185K
py3-wbdata-pyc-1.1.0-r0.apk
2026-01-27 04:16
19K
py3-wbdata-1.1.0-r0.apk
2026-01-27 04:16
18K
py3-saltext-s3fs-pyc-1.0.0-r0.apk
2026-01-27 04:16
16K
py3-saltext-s3fs-1.0.0-r0.apk
2026-01-27 04:16
16K
py3-requests-cache-pyc-1.2.1-r2.apk
2026-01-27 04:16
94K
py3-requests-cache-1.2.1-r2.apk
2026-01-27 04:16
50K
py3-pygpgme-pyc-0.3.1-r10.apk
2026-01-27 04:16
4.8K
py3-pygpgme-0.3.1-r10.apk
2026-01-27 04:16
37K
py3-pdal-pyc-3.5.3-r0.apk
2026-01-27 04:16
14K
py3-pdal-3.5.3-r0.apk
2026-01-27 04:16
179K
py3-hfst-3.16.2-r1.apk
2026-01-27 04:16
375K
py3-c3d-pyc-0.6.0-r1.apk
2026-01-27 04:16
53K
py3-c3d-0.6.0-r1.apk
2026-01-27 04:16
31K
py3-apsw-pyc-3.51.2.0-r0.apk
2026-01-27 04:16
586K
py3-apsw-3.51.2.0-r0.apk
2026-01-27 04:16
946K
pug-0.6.5-r1.apk
2026-01-27 04:16
3.4M
protoconf-0.1.7-r19.apk
2026-01-27 04:16
7.5M
protoc-gen-gorm-1.1.5-r1.apk
2026-01-27 04:16
2.4M
protoc-gen-go-grpc-1.77.0-r1.apk
2026-01-27 04:16
2.1M
protoc-gen-go-1.36.11-r1.apk
2026-01-27 04:16
2.2M
protoc-gen-doc-doc-1.5.1-r1.apk
2026-01-27 04:16
2.0K
protoc-gen-doc-1.5.1-r1.apk
2026-01-27 04:16
3.4M
protoc-gen-bq-schema-3.1.0-r1.apk
2026-01-27 04:16
1.6M
prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk
2026-01-27 04:16
1.7K
prometheus-smtp2go-exporter-0.1.1-r5.apk
2026-01-27 04:16
3.4M
prometheus-smartctl-exporter-openrc-0.14.0-r6.apk
2026-01-27 04:16
1.6K
prometheus-smartctl-exporter-0.14.0-r6.apk
2026-01-27 04:16
4.6M
prometheus-podman-exporter-1.18.1-r4.apk
2026-01-27 04:16
15M
prometheus-opnsense-exporter-openrc-0.0.11-r3.apk
2026-01-27 04:16
1.8K
prometheus-opnsense-exporter-0.0.11-r3.apk
2026-01-27 04:16
4.7M
prometheus-fastly-exporter-10.2.0-r1.apk
2026-01-27 04:16
4.7M
prometheus-ceph-exporter-openrc-4.2.5-r10.apk
2026-01-27 04:16
1.6K
prometheus-ceph-exporter-4.2.5-r10.apk
2026-01-27 04:16
3.5M
predoc-doc-0.2.3-r0.apk
2026-01-27 04:16
10K
predoc-0.2.3-r0.apk
2026-01-27 04:16
347K
popeye-0.22.1-r10.apk
2026-01-27 04:16
27M
pomo-doc-0.8.1-r29.apk
2026-01-27 04:16
2.5K
pomo-0.8.1-r29.apk
2026-01-27 04:16
1.6M
polyglot-doc-2.0.4-r2.apk
2026-01-27 04:16
47K
polyglot-2.0.4-r2.apk
2026-01-27 04:16
66K
pnmixer-lang-0.7.2-r4.apk
2026-01-27 04:16
24K
pnmixer-doc-0.7.2-r4.apk
2026-01-27 04:16
2.0K
pnmixer-0.7.2-r4.apk
2026-01-27 04:16
138K
plakar-doc-1.0.6-r2.apk
2026-01-27 04:16
1.8K
plakar-1.0.6-r2.apk
2026-01-27 04:16
19M
php82-zip-8.2.30-r2.apk
2026-01-27 04:16
27K
php82-xsl-8.2.30-r2.apk
2026-01-27 04:16
12K
php82-xmlwriter-8.2.30-r2.apk
2026-01-27 04:16
12K
php82-xmlreader-8.2.30-r2.apk
2026-01-27 04:16
13K
php82-xml-8.2.30-r2.apk
2026-01-27 04:16
19K
php82-tokenizer-8.2.30-r2.apk
2026-01-27 04:16
11K
php82-tidy-8.2.30-r2.apk
2026-01-27 04:16
19K
php82-sysvshm-8.2.30-r2.apk
2026-01-27 04:16
6.3K
php82-sysvsem-8.2.30-r2.apk
2026-01-27 04:16
5.4K
php82-sysvmsg-8.2.30-r2.apk
2026-01-27 04:16
7.3K
php82-sqlite3-8.2.30-r2.apk
2026-01-27 04:16
21K
php82-sodium-8.2.30-r2.apk
2026-01-27 04:16
28K
php82-sockets-8.2.30-r2.apk
2026-01-27 04:16
36K
php82-soap-8.2.30-r2.apk
2026-01-27 04:16
127K
php82-snmp-8.2.30-r2.apk
2026-01-27 04:16
20K
php82-simplexml-8.2.30-r2.apk
2026-01-27 04:16
21K
php82-shmop-8.2.30-r2.apk
2026-01-27 04:16
5.7K
php82-session-8.2.30-r2.apk
2026-01-27 04:16
35K
php82-pspell-8.2.30-r2.apk
2026-01-27 04:16
7.8K
php82-posix-8.2.30-r2.apk
2026-01-27 04:16
11K
php82-phpdbg-8.2.30-r2.apk
2026-01-27 04:16
1.9M
php82-phar-8.2.30-r2.apk
2026-01-27 04:16
115K
php82-pgsql-8.2.30-r2.apk
2026-01-27 04:16
45K
php82-pecl-protobuf-4.33.4-r0.apk
2026-01-27 04:16
150K
php82-pear-8.2.30-r2.apk
2026-01-27 04:16
338K
php82-pdo_sqlite-8.2.30-r2.apk
2026-01-27 04:16
12K
php82-pdo_pgsql-8.2.30-r2.apk
2026-01-27 04:16
18K
php82-pdo_odbc-8.2.30-r2.apk
2026-01-27 04:16
13K
php82-pdo_mysql-8.2.30-r2.apk
2026-01-27 04:16
12K
php82-pdo_dblib-8.2.30-r2.apk
2026-01-27 04:16
11K
php82-pdo-8.2.30-r2.apk
2026-01-27 04:16
41K
php82-pdlib-1.1.0-r2.apk
2026-01-27 04:16
499K
php82-pcntl-8.2.30-r2.apk
2026-01-27 04:16
14K
php82-openssl-8.2.30-r2.apk
2026-01-27 04:16
69K
php82-opcache-8.2.30-r2.apk
2026-01-27 04:16
66K
php82-odbc-8.2.30-r2.apk
2026-01-27 04:16
24K
php82-mysqlnd-8.2.30-r2.apk
2026-01-27 04:16
78K
php82-mysqli-8.2.30-r2.apk
2026-01-27 04:16
43K
php82-mbstring-8.2.30-r2.apk
2026-01-27 04:16
630K
php82-litespeed-8.2.30-r2.apk
2026-01-27 04:16
1.8M
php82-ldap-8.2.30-r2.apk
2026-01-27 04:16
31K
php82-intl-8.2.30-r2.apk
2026-01-27 04:16
152K
php82-imap-8.2.30-r2.apk
2026-01-27 04:16
33K
php82-iconv-8.2.30-r2.apk
2026-01-27 04:16
16K
php82-gmp-8.2.30-r2.apk
2026-01-27 04:16
21K
php82-gettext-8.2.30-r2.apk
2026-01-27 04:16
5.6K
php82-gd-8.2.30-r2.apk
2026-01-27 04:16
119K
php82-ftp-8.2.30-r2.apk
2026-01-27 04:16
23K
php82-fpm-8.2.30-r2.apk
2026-01-27 04:16
1.9M
php82-fileinfo-8.2.30-r2.apk
2026-01-27 04:16
377K
php82-ffi-8.2.30-r2.apk
2026-01-27 04:16
79K
php82-exif-8.2.30-r2.apk
2026-01-27 04:16
31K
php82-enchant-8.2.30-r2.apk
2026-01-27 04:16
8.3K
php82-embed-8.2.30-r2.apk
2026-01-27 04:16
1.8M
php82-dom-8.2.30-r2.apk
2026-01-27 04:16
59K
php82-doc-8.2.30-r2.apk
2026-01-27 04:16
73K
php82-dev-8.2.30-r2.apk
2026-01-27 04:16
968K
php82-dbg-8.2.30-r2.apk
2026-01-27 04:16
41M
php82-dba-8.2.30-r2.apk
2026-01-27 04:16
22K
php82-curl-8.2.30-r2.apk
2026-01-27 04:16
37K
php82-ctype-8.2.30-r2.apk
2026-01-27 04:16
4.5K
php82-common-8.2.30-r2.apk
2026-01-27 04:16
25K
php82-cgi-8.2.30-r2.apk
2026-01-27 04:16
1.8M
php82-calendar-8.2.30-r2.apk
2026-01-27 04:16
13K
php82-bz2-8.2.30-r2.apk
2026-01-27 04:16
9.3K
php82-bcmath-8.2.30-r2.apk
2026-01-27 04:16
15K
php82-apache2-8.2.30-r2.apk
2026-01-27 04:16
1.8M
php82-8.2.30-r2.apk
2026-01-27 04:16
1.8M
php81-zip-8.1.34-r1.apk
2026-01-27 04:16
24K
php81-xsl-8.1.34-r1.apk
2026-01-27 04:16
12K
php81-xmlwriter-8.1.34-r1.apk
2026-01-27 04:16
12K
php81-xmlreader-8.1.34-r1.apk
2026-01-27 04:16
13K
php81-xml-8.1.34-r1.apk
2026-01-27 04:16
18K
php81-tokenizer-8.1.34-r1.apk
2026-01-27 04:16
11K
php81-tidy-8.1.34-r1.apk
2026-01-27 04:16
18K
php81-sysvshm-8.1.34-r1.apk
2026-01-27 04:16
6.2K
php81-sysvsem-8.1.34-r1.apk
2026-01-27 04:16
5.4K
php81-sysvmsg-8.1.34-r1.apk
2026-01-27 04:16
7.1K
php81-sqlite3-8.1.34-r1.apk
2026-01-27 04:16
20K
php81-sodium-8.1.34-r1.apk
2026-01-27 04:16
26K
php81-sockets-8.1.34-r1.apk
2026-01-27 04:16
35K
php81-soap-8.1.34-r1.apk
2026-01-27 04:16
126K
php81-snmp-8.1.34-r1.apk
2026-01-27 04:16
20K
php81-simplexml-8.1.34-r1.apk
2026-01-27 04:16
21K
php81-shmop-8.1.34-r1.apk
2026-01-27 04:16
5.7K
php81-session-8.1.34-r1.apk
2026-01-27 04:16
34K
php81-pspell-8.1.34-r1.apk
2026-01-27 04:16
7.8K
php81-posix-8.1.34-r1.apk
2026-01-27 04:16
11K
php81-phpdbg-8.1.34-r1.apk
2026-01-27 04:16
1.8M
php81-phar-8.1.34-r1.apk
2026-01-27 04:16
114K
php81-pgsql-8.1.34-r1.apk
2026-01-27 04:16
45K
php81-pecl-protobuf-4.33.4-r0.apk
2026-01-27 04:16
150K
php81-pear-8.1.34-r1.apk
2026-01-27 04:16
338K
php81-pdo_sqlite-8.1.34-r1.apk
2026-01-27 04:16
12K
php81-pdo_pgsql-8.1.34-r1.apk
2026-01-27 04:16
18K
php81-pdo_odbc-8.1.34-r1.apk
2026-01-27 04:16
12K
php81-pdo_mysql-8.1.34-r1.apk
2026-01-27 04:16
12K
php81-pdo_dblib-8.1.34-r1.apk
2026-01-27 04:16
11K
php81-pdo-8.1.34-r1.apk
2026-01-27 04:16
40K
php81-pcntl-8.1.34-r1.apk
2026-01-27 04:16
13K
php81-openssl-8.1.34-r1.apk
2026-01-27 04:16
67K
php81-opcache-8.1.34-r1.apk
2026-01-27 04:16
64K
php81-odbc-8.1.34-r1.apk
2026-01-27 04:16
23K
php81-mysqlnd-8.1.34-r1.apk
2026-01-27 04:16
78K
php81-mysqli-8.1.34-r1.apk
2026-01-27 04:16
42K
php81-mbstring-8.1.34-r1.apk
2026-01-27 04:16
568K
php81-litespeed-8.1.34-r1.apk
2026-01-27 04:16
1.8M
php81-ldap-8.1.34-r1.apk
2026-01-27 04:16
30K
php81-intl-8.1.34-r1.apk
2026-01-27 04:16
135K
php81-imap-8.1.34-r1.apk
2026-01-27 04:16
33K
php81-iconv-8.1.34-r1.apk
2026-01-27 04:16
16K
php81-gmp-8.1.34-r1.apk
2026-01-27 04:16
21K
php81-gettext-8.1.34-r1.apk
2026-01-27 04:16
5.6K
php81-gd-8.1.34-r1.apk
2026-01-27 04:16
119K
php81-ftp-8.1.34-r1.apk
2026-01-27 04:16
23K
php81-fpm-8.1.34-r1.apk
2026-01-27 04:16
1.8M
php81-fileinfo-8.1.34-r1.apk
2026-01-27 04:16
377K
php81-ffi-8.1.34-r1.apk
2026-01-27 04:16
77K
php81-exif-8.1.34-r1.apk
2026-01-27 04:16
31K
php81-enchant-8.1.34-r1.apk
2026-01-27 04:16
8.4K
php81-embed-8.1.34-r1.apk
2026-01-27 04:16
1.7M
php81-dom-8.1.34-r1.apk
2026-01-27 04:16
58K
php81-doc-8.1.34-r1.apk
2026-01-27 04:16
68K
php81-dev-8.1.34-r1.apk
2026-01-27 04:16
939K
php81-dba-8.1.34-r1.apk
2026-01-27 04:16
21K
php81-curl-8.1.34-r1.apk
2026-01-27 04:16
35K
php81-ctype-8.1.34-r1.apk
2026-01-27 04:16
4.5K
php81-common-8.1.34-r1.apk
2026-01-27 04:16
25K
php81-cgi-8.1.34-r1.apk
2026-01-27 04:16
1.8M
php81-calendar-8.1.34-r1.apk
2026-01-27 04:16
13K
php81-bz2-8.1.34-r1.apk
2026-01-27 04:16
9.3K
php81-bcmath-8.1.34-r1.apk
2026-01-27 04:16
15K
php81-apache2-8.1.34-r1.apk
2026-01-27 04:16
1.8M
php81-8.1.34-r1.apk
2026-01-27 04:16
1.8M
petitboot-doc-1.15-r1.apk
2026-01-27 04:16
7.8K
petitboot-dbg-1.15-r1.apk
2026-01-27 04:16
618K
petitboot-1.15-r1.apk
2026-01-27 04:16
189K
perl-net-curl-doc-0.58-r0.apk
2026-01-27 04:16
39K
perl-net-curl-0.58-r0.apk
2026-01-27 04:16
59K
perl-data-censor-doc-0.04-r0.apk
2026-01-27 04:16
4.7K
perl-data-censor-0.04-r0.apk
2026-01-27 04:16
4.6K
perl-dancer2-doc-2.0.1-r0.apk
2026-01-27 04:16
318K
perl-dancer2-2.0.1-r0.apk
2026-01-27 04:16
147K
pcl-libs-1.15.1-r0.apk
2026-01-27 04:16
1.2M
pcl-dev-1.15.1-r0.apk
2026-01-27 04:16
380K
pcl-1.15.1-r0.apk
2026-01-27 04:16
469K
pathvector-6.3.2-r19.apk
2026-01-27 04:16
3.9M
packwiz-doc-0_git20251102-r2.apk
2026-01-27 04:16
2.0K
packwiz-0_git20251102-r2.apk
2026-01-27 04:16
4.6M
ovhcloud-cli-0.9.0-r1.apk
2026-01-27 04:16
7.2M
organicmaps-2025.09.05.1-r1.apk
2026-01-27 04:16
126M
opcr-policy-0.3.1-r2.apk
2026-01-27 04:16
9.8M
oniux-doc-0.7.0-r0.apk
2026-01-27 04:16
3.0K
oniux-0.7.0-r0.apk
2026-01-27 04:16
6.5M
octoprint-pyc-1.11.5-r0.apk
2026-01-27 04:16
1.3M
octoprint-openrc-1.11.5-r0.apk
2026-01-27 04:16
1.5K
octoprint-1.11.5-r0.apk
2026-01-27 04:16
3.1M
nwg-look-doc-1.0.6-r2.apk
2026-01-27 04:16
3.9K
nwg-look-1.0.6-r2.apk
2026-01-27 04:16
1.5M
nwg-dock-0.4.3-r6.apk
2026-01-27 04:16
1.7M
nwg-bar-0.1.6-r16.apk
2026-01-27 04:16
1.6M
nom-doc-2.8.0-r9.apk
2026-01-27 04:16
3.8K
nom-2.8.0-r9.apk
2026-01-27 04:16
6.8M
noggin-doc-0.1-r24.apk
2026-01-27 04:16
2.7K
noggin-0.1-r24.apk
2026-01-27 04:16
1.4M
nmqtt-openrc-1.0.7-r0.apk
2026-01-27 04:16
1.7K
nmqtt-doc-1.0.7-r0.apk
2026-01-27 04:16
2.0K
nmqtt-1.0.7-r0.apk
2026-01-27 04:16
846K
nitro-init-doc-0.7.1-r0.apk
2026-01-27 04:16
4.3K
nitro-init-0.7.1-r0.apk
2026-01-27 04:16
22K
nim-sha2-doc-0.1.1-r0.apk
2026-01-27 04:16
2.0K
nim-sha2-0.1.1-r0.apk
2026-01-27 04:16
5.7K
nim-nmqtt-1.0.7-r0.apk
2026-01-27 04:16
23K
nim-cligen-doc-1.9.5-r0.apk
2026-01-27 04:16
1.8K
nim-cligen-1.9.5-r0.apk
2026-01-27 04:16
189K
nim-bcrypt-dev-0.2.1-r0.apk
2026-01-27 04:16
16K
nim-bcrypt-0.2.1-r0.apk
2026-01-27 04:16
2.1K
net-predictable-doc-1.5.1-r6.apk
2026-01-27 04:16
2.0K
net-predictable-1.5.1-r6.apk
2026-01-27 04:16
925K
neard-openrc-0.19-r1.apk
2026-01-27 04:16
1.4K
neard-doc-0.19-r1.apk
2026-01-27 04:16
5.3K
neard-dev-0.19-r1.apk
2026-01-27 04:16
10K
neard-0.19-r1.apk
2026-01-27 04:16
136K
nb-zsh-completion-7.24.0-r0.apk
2026-01-27 04:16
2.7K
nb-full-7.24.0-r0.apk
2026-01-27 04:16
1.0K
nb-fish-completion-7.24.0-r0.apk
2026-01-27 04:16
2.5K
nb-doc-7.24.0-r0.apk
2026-01-27 04:16
79K
nb-bash-completion-7.24.0-r0.apk
2026-01-27 04:16
2.7K
nb-7.24.0-r0.apk
2026-01-27 04:16
157K
naken_asm-dev-0_git20240726-r0.apk
2026-01-27 04:16
89K
naken_asm-0_git20240726-r0.apk
2026-01-27 04:16
930K
mtg-openrc-2.1.7-r27.apk
2026-01-27 04:16
1.6K
mtg-2.1.7-r27.apk
2026-01-27 04:16
4.4M
mtail-openrc-3.2.26-r2.apk
2026-01-27 04:16
1.7K
mtail-3.2.26-r2.apk
2026-01-27 04:16
13M
msh-openrc-2.5.0-r18.apk
2026-01-27 04:16
1.7K
msh-2.5.0-r18.apk
2026-01-27 04:16
2.9M
mqtt2prometheus-0.1.7-r22.apk
2026-01-27 04:16
4.4M
mods-doc-1.8.1-r4.apk
2026-01-27 04:16
2.0K
mods-1.8.1-r4.apk
2026-01-27 04:16
10M
mobroute-doc-0.10.0-r6.apk
2026-01-27 04:16
1.3M
mobroute-0.10.0-r6.apk
2026-01-27 04:16
4.5M
mkdotenv-0.4.9-r3.apk
2026-01-27 04:16
914K
mkcert-1.4.4-r25.apk
2026-01-27 04:16
1.7M
mint-y-theme-xfwm4-2.3.7-r1.apk
2026-01-27 04:16
205K
mint-y-theme-metacity-2.3.7-r1.apk
2026-01-27 04:16
55K
mint-y-theme-gtk4-2.3.7-r1.apk
2026-01-27 04:16
1.7M
mint-y-theme-gtk3-2.3.7-r1.apk
2026-01-27 04:16
2.0M
mint-y-theme-2.3.7-r1.apk
2026-01-27 04:16
3.3K
mint-x-theme-xfwm4-2.3.7-r1.apk
2026-01-27 04:16
31K
mint-x-theme-metacity-2.3.7-r1.apk
2026-01-27 04:16
5.8K
mint-x-theme-gtk4-2.3.7-r1.apk
2026-01-27 04:16
503K
mint-x-theme-gtk3-2.3.7-r1.apk
2026-01-27 04:16
597K
mint-x-theme-2.3.7-r1.apk
2026-01-27 04:16
2.5K
mint-themes-doc-2.3.7-r1.apk
2026-01-27 04:16
15K
mint-themes-2.3.7-r1.apk
2026-01-27 04:16
4.8M
metricbeat-openrc-9.2.0-r2.apk
2026-01-27 04:16
1.8K
metricbeat-9.2.0-r2.apk
2026-01-27 04:16
47M
melange-zsh-completion-0.37.5-r1.apk
2026-01-27 04:16
3.8K
melange-fish-completion-0.37.5-r1.apk
2026-01-27 04:16
4.1K
melange-bash-completion-0.37.5-r1.apk
2026-01-27 04:16
6.4K
melange-0.37.5-r1.apk
2026-01-27 04:16
12M
mautrix-zulip-openrc-0.2511.0-r2.apk
2026-01-27 04:16
1.7K
mautrix-zulip-doc-0.2511.0-r2.apk
2026-01-27 04:16
13K
mautrix-zulip-0.2511.0-r2.apk
2026-01-27 04:16
6.6M
mautrix-linkedin-openrc-0.2512.0-r1.apk
2026-01-27 04:16
1.7K
mautrix-linkedin-doc-0.2512.0-r1.apk
2026-01-27 04:16
13K
mautrix-linkedin-0.2512.0-r1.apk
2026-01-27 04:16
6.5M
materia-gtk4-20210322-r4.apk
2026-01-27 04:16
42K
materia-gtk3-20210322-r4.apk
2026-01-27 04:16
63K
materia-gtk-theme-20210322-r4.apk
2026-01-27 04:16
139K
materia-gnome-shell-20210322-r4.apk
2026-01-27 04:16
29K
materia-dark-gtk4-20210322-r4.apk
2026-01-27 04:16
29K
materia-dark-gtk3-20210322-r4.apk
2026-01-27 04:16
39K
materia-dark-gnome-shell-20210322-r4.apk
2026-01-27 04:16
29K
materia-dark-compact-gtk4-20210322-r4.apk
2026-01-27 04:16
29K
materia-dark-compact-gtk3-20210322-r4.apk
2026-01-27 04:16
39K
materia-dark-compact-gnome-shell-20210322-r4.apk
2026-01-27 04:16
29K
materia-dark-compact-chromium-20210322-r4.apk
2026-01-27 04:16
5.5K
materia-dark-compact-20210322-r4.apk
2026-01-27 04:16
1.4K
materia-dark-chromium-20210322-r4.apk
2026-01-27 04:16
5.4K
materia-dark-20210322-r4.apk
2026-01-27 04:16
1.4K
materia-compact-gtk4-20210322-r4.apk
2026-01-27 04:16
42K
materia-compact-gtk3-20210322-r4.apk
2026-01-27 04:16
63K
materia-compact-gnome-shell-20210322-r4.apk
2026-01-27 04:16
29K
materia-compact-chromium-20210322-r4.apk
2026-01-27 04:16
5.4K
materia-compact-20210322-r4.apk
2026-01-27 04:16
1.4K
materia-chromium-20210322-r4.apk
2026-01-27 04:16
5.4K
materia-20210322-r4.apk
2026-01-27 04:16
1.4K
manifest-tool-2.2.1-r0.apk
2026-01-27 04:16
4.6M
mangowc-0.11.0-r0.apk
2026-01-27 04:16
119K
mangal-zsh-completion-4.0.6-r24.apk
2026-01-27 04:16
3.7K
mangal-fish-completion-4.0.6-r24.apk
2026-01-27 04:16
3.7K
mangal-bash-completion-4.0.6-r24.apk
2026-01-27 04:16
4.7K
mangal-4.0.6-r24.apk
2026-01-27 04:16
10M
makeclapman-doc-2.4.4-r11.apk
2026-01-27 04:16
3.9K
makeclapman-2.4.4-r11.apk
2026-01-27 04:16
1.3M
mailsec-check-0_git20210729-r32.apk
2026-01-27 04:16
2.6M
maildir2rss-0.0.7-r11.apk
2026-01-27 04:16
3.5M
maildir-rank-addr-doc-1.4.1-r2.apk
2026-01-27 04:16
2.0K
maildir-rank-addr-1.4.1-r2.apk
2026-01-27 04:16
3.3M
lxappearance-lang-0.6.3-r4.apk
2026-01-27 04:16
79K
lxappearance-doc-0.6.3-r4.apk
2026-01-27 04:16
2.4K
lxappearance-dev-0.6.3-r4.apk
2026-01-27 04:16
2.9K
lxappearance-0.6.3-r4.apk
2026-01-27 04:16
28K
lua5.4-cmark-0.31.1-r0.apk
2026-01-27 04:16
100K
lua5.3-cmark-0.31.1-r0.apk
2026-01-27 04:16
100K
lua5.2-cmark-0.31.1-r0.apk
2026-01-27 04:16
100K
lua5.1-mqtt-3.4.3-r0.apk
2026-01-27 04:16
1.0K
lua5.1-cmark-0.31.1-r0.apk
2026-01-27 04:16
100K
lua-pkgbuild-1.0.0-r0.apk
2026-01-27 04:16
3.4K
lua-mqtt-3.4.3-r0.apk
2026-01-27 04:16
26K
lua-markdown-doc-0.33-r0.apk
2026-01-27 04:16
2.0K
lua-markdown-0.33-r0.apk
2026-01-27 04:16
11K
lua-dkjson-2.8-r0.apk
2026-01-27 04:16
8.3K
lua-cmark-0.31.1-r0.apk
2026-01-27 04:16
1.0K
lua-apkbuild-1.1.0-r0.apk
2026-01-27 04:16
4.2K
lomiri-ui-toolkit-lang-1.3.5902-r0.apk
2026-01-27 04:16
99K
lomiri-ui-toolkit-dev-1.3.5902-r0.apk
2026-01-27 04:16
170K
lomiri-ui-toolkit-1.3.5902-r0.apk
2026-01-27 04:16
1.3M
lomiri-telephony-service-lang-0.6.2-r2.apk
2026-01-27 04:16
108K
lomiri-telephony-service-0.6.2-r2.apk
2026-01-27 04:16
1.0M
lomiri-schemas-0.1.10-r0.apk
2026-01-27 04:16
10K
lomiri-location-service-systemd-3.3.0-r6.apk
2026-01-27 04:16
1.9K
lomiri-location-service-lang-3.3.0-r6.apk
2026-01-27 04:16
25K
lomiri-location-service-doc-3.3.0-r6.apk
2026-01-27 04:16
2.6K
lomiri-location-service-dev-3.3.0-r6.apk
2026-01-27 04:16
30K
lomiri-location-service-3.3.0-r6.apk
2026-01-27 04:16
2.4M
lomiri-history-service-dev-0.6-r21.apk
2026-01-27 04:16
11K
lomiri-history-service-0.6-r21.apk
2026-01-27 04:16
353K
lomiri-content-hub-lang-2.2.2-r0.apk
2026-01-27 04:16
47K
lomiri-content-hub-doc-2.2.2-r0.apk
2026-01-27 04:16
1.4M
lomiri-content-hub-dev-2.2.2-r0.apk
2026-01-27 04:16
11K
lomiri-content-hub-2.2.2-r0.apk
2026-01-27 04:16
282K
linuxkit-doc-1.8.2-r2.apk
2026-01-27 04:16
10K
linuxkit-1.8.2-r2.apk
2026-01-27 04:16
13M
linux-starfive-dev-6.18.6-r0.apk
2026-01-27 04:16
13M
linux-starfive-6.18.6-r0.apk
2026-01-27 04:16
19M
linkquisition-1.6.1-r11.apk
2026-01-27 04:16
12M
libre-dev-4.4.0-r0.apk
2026-01-27 04:16
758K
libre-4.4.0-r0.apk
2026-01-27 04:16
291K
legume-doc-1.4.2-r15.apk
2026-01-27 04:16
12K
legume-1.4.2-r15.apk
2026-01-27 04:16
1.5M
lefthook-doc-2.0.4-r2.apk
2026-01-27 04:16
2.0K
lefthook-2.0.4-r2.apk
2026-01-27 04:16
5.7M
lbb-doc-0.10.4-r2.apk
2026-01-27 04:16
20K
lbb-0.10.4-r2.apk
2026-01-27 04:16
3.1M
kubetail-0.11.0-r0.apk
2026-01-27 04:16
32M
kubeseal-doc-0.34.0-r0.apk
2026-01-27 04:16
5.3K
kubeseal-0.34.0-r0.apk
2026-01-27 04:16
11M
kubepug-zsh-completion-1.7.1-r16.apk
2026-01-27 04:16
3.8K
kubepug-fish-completion-1.7.1-r16.apk
2026-01-27 04:16
4.1K
kubepug-bash-completion-1.7.1-r16.apk
2026-01-27 04:16
4.9K
kubepug-1.7.1-r16.apk
2026-01-27 04:16
16M
kubectl-oidc_login-1.34.2-r2.apk
2026-01-27 04:16
5.4M
kubeconform-0.7.0-r4.apk
2026-01-27 04:16
3.6M
kube-no-trouble-0.7.3-r11.apk
2026-01-27 04:16
13M
kopia-zsh-completion-0.22.3-r1.apk
2026-01-27 04:16
1.5K
kopia-bash-completion-0.22.3-r1.apk
2026-01-27 04:16
1.5K
kopia-0.22.3-r1.apk
2026-01-27 04:16
16M
kompose-zsh-completion-1.31.2-r16.apk
2026-01-27 04:16
6.5K
kompose-fish-completion-1.31.2-r16.apk
2026-01-27 04:16
4.1K
kompose-bash-completion-1.31.2-r16.apk
2026-01-27 04:16
5.3K
kompose-1.31.2-r16.apk
2026-01-27 04:16
7.0M
ko-zsh-completion-0.17.1-r11.apk
2026-01-27 04:16
3.8K
ko-fish-completion-0.17.1-r11.apk
2026-01-27 04:16
4.0K
ko-bash-completion-0.17.1-r11.apk
2026-01-27 04:16
4.8K
ko-0.17.1-r11.apk
2026-01-27 04:16
10M
knative-client-zsh-completion-1.19.6-r2.apk
2026-01-27 04:16
3.8K
knative-client-fish-completion-1.19.6-r2.apk
2026-01-27 04:16
4.0K
knative-client-bash-completion-1.19.6-r2.apk
2026-01-27 04:16
9.9K
knative-client-1.19.6-r2.apk
2026-01-27 04:16
23M
kine-doc-0.10.1-r19.apk
2026-01-27 04:16
4.9K
kine-0.10.1-r19.apk
2026-01-27 04:16
7.7M
khinsider-2.0.7-r26.apk
2026-01-27 04:16
3.4M
keyboard-backlight-1.4.0_git20220127-r0.apk
2026-01-27 04:16
122K
keybase-client-6.2.8-r16.apk
2026-01-27 04:16
18M
katana-doc-1.4.0-r0.apk
2026-01-27 04:16
2.0K
katana-1.4.0-r0.apk
2026-01-27 04:16
17M
kapow-0.7.1-r19.apk
2026-01-27 04:16
3.5M
k3sup-zsh-completion-0.13.6-r11.apk
2026-01-27 04:16
3.7K
k3sup-fish-completion-0.13.6-r11.apk
2026-01-27 04:16
4.0K
k3sup-bash-completion-0.13.6-r11.apk
2026-01-27 04:16
4.8K
k3sup-0.13.6-r11.apk
2026-01-27 04:16
2.6M
jsonnet-language-server-0.16.0-r2.apk
2026-01-27 04:16
4.3M
jsonnet-bundler-0.6.0-r11.apk
2026-01-27 04:16
3.2M
jfrog-cli-2.45.0-r18.apk
2026-01-27 04:16
9.1M
jackal-openrc-0.64.0-r21.apk
2026-01-27 04:16
1.6K
jackal-0.64.0-r21.apk
2026-01-27 04:16
12M
ircdog-0.5.4-r11.apk
2026-01-27 04:16
2.4M
ipp-usb-openrc-0.9.30-r6.apk
2026-01-27 04:16
1.5K
ipp-usb-doc-0.9.30-r6.apk
2026-01-27 04:16
8.6K
ipp-usb-0.9.30-r6.apk
2026-01-27 04:16
2.4M
invidtui-0.4.6-r11.apk
2026-01-27 04:16
4.0M
imgdiff-doc-1.0.2-r32.apk
2026-01-27 04:16
2.0K
imgdiff-1.0.2-r32.apk
2026-01-27 04:16
1.0M
ijq-doc-1.2.0-r3.apk
2026-01-27 04:16
3.3K
ijq-1.2.0-r3.apk
2026-01-27 04:16
1.4M
hubble-cli-zsh-completion-0.13.6-r11.apk
2026-01-27 04:16
3.8K
hubble-cli-fish-completion-0.13.6-r11.apk
2026-01-27 04:16
4.1K
hubble-cli-bash-completion-0.13.6-r11.apk
2026-01-27 04:16
4.8K
hubble-cli-0.13.6-r11.apk
2026-01-27 04:16
17M
hub-zsh-completion-2.14.2-r37.apk
2026-01-27 04:16
3.4K
hub-fish-completion-2.14.2-r37.apk
2026-01-27 04:16
3.0K
hub-doc-2.14.2-r37.apk
2026-01-27 04:16
42K
hub-bash-completion-2.14.2-r37.apk
2026-01-27 04:16
4.3K
hub-2.14.2-r37.apk
2026-01-27 04:16
2.8M
httpx-doc-1.8.1-r0.apk
2026-01-27 04:16
2.0K
httpx-1.8.1-r0.apk
2026-01-27 04:16
18M
hilbish-doc-2.3.4-r11.apk
2026-01-27 04:16
25K
hilbish-2.3.4-r11.apk
2026-01-27 04:16
3.4M
hfst-libs-3.16.2-r1.apk
2026-01-27 04:16
1.9M
hfst-doc-3.16.2-r1.apk
2026-01-27 04:16
69K
hfst-dev-3.16.2-r1.apk
2026-01-27 04:16
209K
hfst-3.16.2-r1.apk
2026-01-27 04:16
1.4M
helmfile-zsh-completion-1.1.8-r2.apk
2026-01-27 04:16
3.8K
helmfile-fish-completion-1.1.8-r2.apk
2026-01-27 04:16
4.1K
helmfile-doc-1.1.8-r2.apk
2026-01-27 04:16
2.0K
helmfile-bash-completion-1.1.8-r2.apk
2026-01-27 04:16
5.8K
helmfile-1.1.8-r2.apk
2026-01-27 04:16
58M
helm-unittest-1.0.3-r2.apk
2026-01-27 04:16
12M
helm-mapkubeapis-0.6.1-r2.apk
2026-01-27 04:16
21M
helm-ls-doc-0.5.4-r2.apk
2026-01-27 04:16
2.0K
helm-ls-0.5.4-r2.apk
2026-01-27 04:16
18M
helm-diff-3.13.1-r2.apk
2026-01-27 04:16
21M
heh-doc-0.6.3-r0.apk
2026-01-27 04:16
3.8K
heh-0.6.3-r0.apk
2026-01-27 04:16
496K
haproxy-dataplaneapi2-openrc-2.9.18-r3.apk
2026-01-27 04:16
1.9K
haproxy-dataplaneapi2-2.9.18-r3.apk
2026-01-27 04:16
10M
gyosu-0.2.0-r4.apk
2026-01-27 04:16
1.8M
gx-doc-0.14.3-r36.apk
2026-01-27 04:16
2.0K
gx-0.14.3-r36.apk
2026-01-27 04:16
4.6M
gst-video-thumbnailer-1.0_alpha3-r0.apk
2026-01-27 04:16
282K
gst-thumbnailers-1.0_alpha3-r0.apk
2026-01-27 04:16
1.0K
gst-audio-thumbnailer-1.0_alpha3-r0.apk
2026-01-27 04:16
268K
grpcurl-1.9.3-r9.apk
2026-01-27 04:16
8.1M
grpcui-1.5.1-r5.apk
2026-01-27 04:16
8.5M
gotify-openrc-2.7.2-r3.apk
2026-01-27 04:16
1.7K
gotify-cli-2.3.2-r11.apk
2026-01-27 04:16
4.2M
gotify-2.7.2-r3.apk
2026-01-27 04:16
11M
goshs-doc-1.1.3-r1.apk
2026-01-27 04:16
2.0K
goshs-1.1.3-r1.apk
2026-01-27 04:16
6.2M
goreman-0.3.15-r19.apk
2026-01-27 04:16
2.4M
gomp-1.0.0-r18.apk
2026-01-27 04:16
3.5M
godini-doc-1.0.0-r6.apk
2026-01-27 04:16
15K
godini-1.0.0-r6.apk
2026-01-27 04:16
1.4M
gobuster-3.8.0-r4.apk
2026-01-27 04:16
3.6M
go-tools-0.41.0-r1.apk
2026-01-27 04:16
44M
go-passbolt-cli-0.3.2-r9.apk
2026-01-27 04:16
6.0M
go-mtpfs-1.0.0-r34.apk
2026-01-27 04:16
1.2M
go-jsonnet-0.21.0-r6.apk
2026-01-27 04:16
6.3M
glow-zsh-completion-2.1.1-r6.apk
2026-01-27 04:16
3.8K
glow-fish-completion-2.1.1-r6.apk
2026-01-27 04:16
4.1K
glow-doc-2.1.1-r6.apk
2026-01-27 04:16
2.9K
glow-bash-completion-2.1.1-r6.apk
2026-01-27 04:16
5.8K
glow-2.1.1-r6.apk
2026-01-27 04:16
5.9M
gliderlabs-sigil-doc-0.11.0-r11.apk
2026-01-27 04:16
2.2K
gliderlabs-sigil-0.11.0-r11.apk
2026-01-27 04:16
3.2M
git-bug-zsh-completion-0.8.1-r7.apk
2026-01-27 04:16
3.8K
git-bug-fish-completion-0.8.1-r7.apk
2026-01-27 04:16
4.1K
git-bug-doc-0.8.1-r7.apk
2026-01-27 04:16
17K
git-bug-bash-completion-0.8.1-r7.apk
2026-01-27 04:16
5.0K
git-bug-0.8.1-r7.apk
2026-01-27 04:16
9.8M
ghq-zsh-completion-1.8.0-r7.apk
2026-01-27 04:16
2.2K
ghq-fish-completion-1.8.0-r7.apk
2026-01-27 04:16
2.3K
ghq-doc-1.8.0-r7.apk
2026-01-27 04:16
5.2K
ghq-bash-completion-1.8.0-r7.apk
2026-01-27 04:16
1.5K
ghq-1.8.0-r7.apk
2026-01-27 04:16
3.6M
gesture-openrc-0.8-r0.apk
2026-01-27 04:16
1.4K
gesture-0.8-r0.apk
2026-01-27 04:16
13K
geodns-openrc-3.3.0-r19.apk
2026-01-27 04:16
1.5K
geodns-logs-3.3.0-r19.apk
2026-01-27 04:16
4.3M
geodns-3.3.0-r19.apk
2026-01-27 04:16
4.7M
generate-kernel-cmdline-doc-1.0-r0.apk
2026-01-27 04:16
2.6K
generate-kernel-cmdline-1.0-r0.apk
2026-01-27 04:16
160K
genact-1.5.1-r0.apk
2026-01-27 04:16
1.7M
gb-0.4.4-r37.apk
2026-01-27 04:16
6.9M
fynedesk-0.4.0-r3.apk
2026-01-27 04:16
13M
fq-0.16.0-r1.apk
2026-01-27 04:16
4.3M
filebrowser-openrc-2.27.0-r17.apk
2026-01-27 04:16
1.6K
filebrowser-2.27.0-r17.apk
2026-01-27 04:16
7.3M
filebeat-openrc-9.2.0-r2.apk
2026-01-27 04:16
1.8K
filebeat-9.2.0-r2.apk
2026-01-27 04:16
37M
fathom-1.3.1-r19.apk
2026-01-27 04:16
4.7M
exercism-zsh-completion-3.2.0-r19.apk
2026-01-27 04:16
1.9K
exercism-fish-completion-3.2.0-r19.apk
2026-01-27 04:16
2.1K
exercism-bash-completion-3.2.0-r19.apk
2026-01-27 04:16
1.7K
exercism-3.2.0-r19.apk
2026-01-27 04:16
4.0M
ergo-ldap-doc-0.0.1-r23.apk
2026-01-27 04:16
2.0K
ergo-ldap-0.0.1-r23.apk
2026-01-27 04:16
2.2M
envconsul-0.13.4-r2.apk
2026-01-27 04:16
4.8M
emmylua-ls-doc-0.19.0-r0.apk
2026-01-27 04:16
36K
emmylua-ls-0.19.0-r0.apk
2026-01-27 04:16
2.9M
emmylua-doc-cli-0.19.0-r0.apk
2026-01-27 04:16
2.4M
emmylua-check-0.19.0-r0.apk
2026-01-27 04:16
2.0M
elastic-beats-9.2.0-r2.apk
2026-01-27 04:16
1.0K
eccodes-2.45.0-r0.apk
2026-01-27 04:16
11M
duf-doc-0.9.1-r3.apk
2026-01-27 04:16
4.5K
duf-0.9.1-r3.apk
2026-01-27 04:16
1.2M
dstask-zsh-completion-0.27-r5.apk
2026-01-27 04:16
1.4K
dstask-import-0.27-r5.apk
2026-01-27 04:16
3.4M
dstask-fish-completion-0.27-r5.apk
2026-01-27 04:16
1.4K
dstask-bash-completion-0.27-r5.apk
2026-01-27 04:16
1.9K
dstask-0.27-r5.apk
2026-01-27 04:16
1.5M
drone-cli-1.8.0-r16.apk
2026-01-27 04:16
5.7M
draw-0.1.1-r19.apk
2026-01-27 04:16
1.0M
dolt-1.79.1-r2.apk
2026-01-27 04:16
40M
dockerize-0.9.6-r3.apk
2026-01-27 04:16
3.3M
docker-volume-local-persist-openrc-1.3.0-r39.apk
2026-01-27 04:16
1.5K
docker-volume-local-persist-1.3.0-r39.apk
2026-01-27 04:16
2.5M
dnscontrol-doc-4.32.0-r0.apk
2026-01-27 04:16
2.0K
dnscontrol-4.32.0-r0.apk
2026-01-27 04:16
17M
dnote-zsh-completion-0.16.0-r1.apk
2026-01-27 04:16
1.8K
dnote-doc-0.16.0-r1.apk
2026-01-27 04:16
6.0K
dnote-bash-completion-0.16.0-r1.apk
2026-01-27 04:16
1.8K
dnote-0.16.0-r1.apk
2026-01-27 04:16
3.8M
dmarc-cat-0.15.0-r12.apk
2026-01-27 04:16
2.7M
dlib-dev-20.0-r0.apk
2026-01-27 04:16
2.4M
dlib-20.0-r0.apk
2026-01-27 04:16
785K
desync-0.9.6-r11.apk
2026-01-27 04:16
7.5M
dbmate-doc-2.28.0-r2.apk
2026-01-27 04:16
2.0K
dbmate-2.28.0-r2.apk
2026-01-27 04:16
11M
crowdsec-splunk-plugin-1.7.6-r0.apk
2026-01-27 04:16
6.0M
crowdsec-slack-plugin-1.7.6-r0.apk
2026-01-27 04:16
6.1M
crowdsec-sentinel-plugin-1.7.6-r0.apk
2026-01-27 04:16
6.0M
crowdsec-openrc-1.7.6-r0.apk
2026-01-27 04:16
1.5K
crowdsec-http-plugin-1.7.6-r0.apk
2026-01-27 04:16
6.0M
crowdsec-file-plugin-1.7.6-r0.apk
2026-01-27 04:16
6.0M
crowdsec-email-plugin-1.7.6-r0.apk
2026-01-27 04:16
6.1M
crowdsec-1.7.6-r0.apk
2026-01-27 04:16
39M
cortex-tenant-openrc-1.15.7-r3.apk
2026-01-27 04:16
1.8K
cortex-tenant-1.15.7-r3.apk
2026-01-27 04:16
4.1M
consul-replicate-0.4.0-r37.apk
2026-01-27 04:16
2.8M
conntracct-openrc-0.2.7-r37.apk
2026-01-27 04:16
1.7K
conntracct-0.2.7-r37.apk
2026-01-27 04:16
4.9M
comrak-doc-0.50.0-r0.apk
2026-01-27 04:16
11K
comrak-0.50.0-r0.apk
2026-01-27 04:16
1.0M
comics-downloader-gui-0.33.8-r16.apk
2026-01-27 04:16
5.5M
comics-downloader-0.33.8-r16.apk
2026-01-27 04:16
3.7M
cloudfoundry-cli-8.7.9-r15.apk
2026-01-27 04:16
8.8M
cliphist-fzf-0.7.0-r2.apk
2026-01-27 04:16
1.5K
cliphist-0.7.0-r2.apk
2026-01-27 04:16
1.0M
clementine-1.4.1_git20260109-r1.apk
2026-01-27 04:16
6.4M
cilium-cli-zsh-completion-0.16.13-r11.apk
2026-01-27 04:16
3.8K
cilium-cli-fish-completion-0.16.13-r11.apk
2026-01-27 04:16
4.1K
cilium-cli-bash-completion-0.16.13-r11.apk
2026-01-27 04:16
4.8K
cilium-cli-0.16.13-r11.apk
2026-01-27 04:16
54M
chasquid-openrc-1.17.0-r1.apk
2026-01-27 04:16
1.7K
chasquid-doc-1.17.0-r1.apk
2026-01-27 04:16
11K
chasquid-1.17.0-r1.apk
2026-01-27 04:16
11M
charta-0.8.2-r2.apk
2026-01-27 04:16
2.0M
certstrap-1.3.0-r30.apk
2026-01-27 04:16
2.2M
certigo-1.16.0-r29.apk
2026-01-27 04:16
3.7M
cava-0.10.7-r0.apk
2026-01-27 04:16
48K
cariddi-1.4.5-r0.apk
2026-01-27 04:16
4.2M
cargo-show-asm-doc-0.2.55-r0.apk
2026-01-27 04:16
9.7K
cargo-show-asm-0.2.55-r0.apk
2026-01-27 04:16
875K
captive-browser-doc-0_git20210801-r3.apk
2026-01-27 04:16
3.4K
captive-browser-0_git20210801-r3.apk
2026-01-27 04:16
1.2M
capsudo-openrc-0.1.3-r0.apk
2026-01-27 04:16
3.0K
capsudo-doc-0.1.3-r0.apk
2026-01-27 04:16
8.1K
capsudo-dbg-0.1.3-r0.apk
2026-01-27 04:16
45K
capsudo-0.1.3-r0.apk
2026-01-27 04:16
13K
butane-0.25.1-r3.apk
2026-01-27 04:16
3.1M
burp-server-3.2.0-r0.apk
2026-01-27 04:16
36K
burp-doc-3.2.0-r0.apk
2026-01-27 04:16
96K
burp-3.2.0-r0.apk
2026-01-27 04:16
164K
buildbot-www-4.3.0-r1.apk
2026-01-27 04:16
422K
buildbot-wsgi-dashboards-4.3.0-r1.apk
2026-01-27 04:16
425K
buildbot-worker-4.3.0-r1.apk
2026-01-27 04:16
191K
buildbot-waterfall-view-4.3.0-r1.apk
2026-01-27 04:16
34K
buildbot-pyc-4.3.0-r1.apk
2026-01-27 04:16
1.5M
buildbot-grid-view-4.3.0-r1.apk
2026-01-27 04:16
11K
buildbot-console-view-4.3.0-r1.apk
2026-01-27 04:16
23K
buildbot-badges-4.3.0-r1.apk
2026-01-27 04:16
9.1K
buildbot-4.3.0-r1.apk
2026-01-27 04:16
736K
buf-zsh-completion-1.59.0-r2.apk
2026-01-27 04:16
3.8K
buf-protoc-plugins-1.59.0-r2.apk
2026-01-27 04:16
14M
buf-fish-completion-1.59.0-r2.apk
2026-01-27 04:16
4.0K
buf-bash-completion-1.59.0-r2.apk
2026-01-27 04:16
8.4K
buf-1.59.0-r2.apk
2026-01-27 04:16
14M
btcd-0.25.0-r1.apk
2026-01-27 04:16
15M
bootloose-0.7.1-r17.apk
2026-01-27 04:16
2.2M
boost1.89-wserialization-1.89.0-r1.apk
2026-01-27 04:16
63K
boost1.89-wave-1.89.0-r1.apk
2026-01-27 04:16
332K
boost1.89-url-1.89.0-r1.apk
2026-01-27 04:16
127K
boost1.89-unit_test_framework-1.89.0-r1.apk
2026-01-27 04:16
266K
boost1.89-type_erasure-1.89.0-r1.apk
2026-01-27 04:16
20K
boost1.89-timer-1.89.0-r1.apk
2026-01-27 04:16
7.6K
boost1.89-thread-1.89.0-r1.apk
2026-01-27 04:16
43K
boost1.89-static-1.89.0-r1.apk
2026-01-27 04:16
11M
boost1.89-serialization-1.89.0-r1.apk
2026-01-27 04:16
89K
boost1.89-regex-1.89.0-r1.apk
2026-01-27 04:16
134K
boost1.89-random-1.89.0-r1.apk
2026-01-27 04:16
13K
boost1.89-python3-1.89.0-r1.apk
2026-01-27 04:16
91K
boost1.89-program_options-1.89.0-r1.apk
2026-01-27 04:16
147K
boost1.89-process-1.89.0-r1.apk
2026-01-27 04:16
27K
boost1.89-prg_exec_monitor-1.89.0-r1.apk
2026-01-27 04:16
31K
boost1.89-nowide-1.89.0-r1.apk
2026-01-27 04:16
6.5K
boost1.89-locale-1.89.0-r1.apk
2026-01-27 04:16
309K
boost1.89-libs-1.89.0-r1.apk
2026-01-27 04:16
759K
boost1.89-json-1.89.0-r1.apk
2026-01-27 04:16
168K
boost1.89-iostreams-1.89.0-r1.apk
2026-01-27 04:16
30K
boost1.89-graph-1.89.0-r1.apk
2026-01-27 04:16
160K
boost1.89-filesystem-1.89.0-r1.apk
2026-01-27 04:16
62K
boost1.89-fiber-1.89.0-r1.apk
2026-01-27 04:16
31K
boost1.89-doc-1.89.0-r1.apk
2026-01-27 04:16
2.1K
boost1.89-dev-1.89.0-r1.apk
2026-01-27 04:16
17M
boost1.89-date_time-1.89.0-r1.apk
2026-01-27 04:16
2.4K
boost1.89-coroutine-1.89.0-r1.apk
2026-01-27 04:16
6.5K
boost1.89-contract-1.89.0-r1.apk
2026-01-27 04:16
35K
boost1.89-context-1.89.0-r1.apk
2026-01-27 04:16
3.5K
boost1.89-container-1.89.0-r1.apk
2026-01-27 04:16
35K
boost1.89-chrono-1.89.0-r1.apk
2026-01-27 04:16
14K
boost1.89-atomic-1.89.0-r1.apk
2026-01-27 04:16
6.4K
boost1.89-1.89.0-r1.apk
2026-01-27 04:16
688K
bomctl-zsh-completion-0.1.9-r12.apk
2026-01-27 04:16
3.8K
bomctl-fish-completion-0.1.9-r12.apk
2026-01-27 04:16
4.1K
bomctl-bash-completion-0.1.9-r12.apk
2026-01-27 04:16
4.9K
bomctl-0.1.9-r12.apk
2026-01-27 04:16
9.0M
biome-2.3.12-r0.apk
2026-01-27 04:16
8.1M
bettercap-doc-2.41.5-r1.apk
2026-01-27 04:16
13K
bettercap-2.41.5-r1.apk
2026-01-27 04:16
19M
beebzzr-doc-0_git20251214-r0.apk
2026-01-27 04:16
2.2K
beebzzr-0_git20251214-r0.apk
2026-01-27 04:16
4.8K
bash-pinyin-completion-rs-doc-1.0.4-r0.apk
2026-01-27 04:16
13K
bash-pinyin-completion-rs-1.0.4-r0.apk
2026-01-27 04:16
308K
barman-pyc-3.17.0-r0.apk
2026-01-27 04:16
599K
barman-doc-3.17.0-r0.apk
2026-01-27 04:16
89K
barman-bash-completion-3.17.0-r0.apk
2026-01-27 04:16
1.4K
barman-3.17.0-r0.apk
2026-01-27 04:16
381K
baresip-dev-4.1.0-r1.apk
2026-01-27 04:16
16K
baresip-4.1.0-r1.apk
2026-01-27 04:16
1.0M
aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk
2026-01-27 04:16
2.1K
aws-ecr-get-login-password-1.0.0_rc2-r2.apk
2026-01-27 04:16
3.1M
avahi2dns-systemd-0.1.0-r9.apk
2026-01-27 04:16
1.5K
avahi2dns-openrc-0.1.0-r9.apk
2026-01-27 04:16
1.5K
avahi2dns-0.1.0-r9.apk
2026-01-27 04:16
2.4M
autorestic-1.8.3-r11.apk
2026-01-27 04:16
3.7M
asdf-doc-0.18.0-r6.apk
2026-01-27 04:16
2.0K
asdf-0.18.0-r6.apk
2026-01-27 04:16
1.6M
argocd-zsh-completion-3.2.5-r0.apk
2026-01-27 04:16
3.8K
argocd-doc-3.2.5-r0.apk
2026-01-27 04:16
5.3K
argocd-bash-completion-3.2.5-r0.apk
2026-01-27 04:16
21K
argocd-3.2.5-r0.apk
2026-01-27 04:16
41M
arc-xfwm-20221218-r1.apk
2026-01-27 04:16
6.8K
arc-theme-20221218-r1.apk
2026-01-27 04:16
1.0K
arc-metacity-20221218-r1.apk
2026-01-27 04:16
17K
arc-lighter-xfwm-20221218-r1.apk
2026-01-27 04:16
6.9K
arc-lighter-metacity-20221218-r1.apk
2026-01-27 04:16
17K
arc-lighter-gtk4-20221218-r1.apk
2026-01-27 04:16
113K
arc-lighter-gtk3-20221218-r1.apk
2026-01-27 04:16
124K
arc-lighter-20221218-r1.apk
2026-01-27 04:16
1.5K
arc-gtk4-20221218-r1.apk
2026-01-27 04:16
113K
arc-gtk3-20221218-r1.apk
2026-01-27 04:16
126K
arc-gnome-20221218-r1.apk
2026-01-27 04:16
27K
arc-darker-xfwm-20221218-r1.apk
2026-01-27 04:16
7.0K
arc-darker-metacity-20221218-r1.apk
2026-01-27 04:16
17K
arc-darker-gtk4-20221218-r1.apk
2026-01-27 04:16
110K
arc-darker-gtk3-20221218-r1.apk
2026-01-27 04:16
123K
arc-darker-20221218-r1.apk
2026-01-27 04:16
1.5K
arc-dark-xfwm-20221218-r1.apk
2026-01-27 04:16
7.0K
arc-dark-metacity-20221218-r1.apk
2026-01-27 04:16
17K
arc-dark-gtk4-20221218-r1.apk
2026-01-27 04:16
86K
arc-dark-gtk3-20221218-r1.apk
2026-01-27 04:16
93K
arc-dark-gnome-20221218-r1.apk
2026-01-27 04:16
26K
arc-dark-cinnamon-20221218-r1.apk
2026-01-27 04:16
67K
arc-dark-20221218-r1.apk
2026-01-27 04:16
1.5K
arc-cinnamon-20221218-r1.apk
2026-01-27 04:16
66K
arc-20221218-r1.apk
2026-01-27 04:16
1.5K
apx-doc-3.0.1-r0.apk
2026-01-27 04:16
1.7K
apx-3.0.1-r0.apk
2026-01-27 04:16
2.1M
aprilsh-server-0.7.12-r11.apk
2026-01-27 04:16
2.4M
aprilsh-openrc-0.7.12-r11.apk
2026-01-27 04:15
1.6K
aprilsh-doc-0.7.12-r11.apk
2026-01-27 04:15
14K
aprilsh-client-0.7.12-r11.apk
2026-01-27 04:15
3.2M
aprilsh-0.7.12-r11.apk
2026-01-27 04:15
1.4K
aports-glmr-0.2-r34.apk
2026-01-27 04:15
2.5M
antibody-6.1.1-r34.apk
2026-01-27 04:15
1.8M
ansifilter-zsh-completion-2.22-r0.apk
2026-01-27 04:15
2.3K
ansifilter-fish-completion-2.22-r0.apk
2026-01-27 04:15
2.2K
ansifilter-doc-2.22-r0.apk
2026-01-27 04:15
21K
ansifilter-bash-completion-2.22-r0.apk
2026-01-27 04:15
2.0K
ansifilter-2.22-r0.apk
2026-01-27 04:15
71K
alps-openrc-0_git20230807-r18.apk
2026-01-27 04:15
1.8K
alps-0_git20230807-r18.apk
2026-01-27 04:15
5.5M
alpine-lift-0.2.0-r29.apk
2026-01-27 04:15
3.6M
adguardhome-openrc-0.107.71-r1.apk
2026-01-27 04:15
1.9K
adguardhome-0.107.71-r1.apk
2026-01-27 04:15
11M
acmetool-doc-0.2.2-r19.apk
2026-01-27 04:15
46K
acmetool-0.2.2-r19.apk
2026-01-27 04:15
4.4M
perl-ffi-platypus-doc-2.11-r0.apk
2026-01-13 07:03
148K
perl-ffi-platypus-2.11-r0.apk
2026-01-13 07:03
179K
simpleble-dev-0.10.4-r0.apk
2026-01-13 04:14
29K
simpleble-0.10.4-r0.apk
2026-01-13 04:14
1.0K
libsimplebluez-0.10.4-r0.apk
2026-01-13 04:14
188K
libsimpleble-c-0.10.4-r0.apk
2026-01-13 04:14
14K
libsimpleble-0.10.4-r0.apk
2026-01-13 04:14
401K
pd-mapper-systemd-1.1-r0.apk
2026-01-13 01:25
1.4K
pd-mapper-openrc-1.1-r0.apk
2026-01-13 01:25
1.4K
pd-mapper-doc-1.1-r0.apk
2026-01-13 01:25
2.2K
pd-mapper-1.1-r0.apk
2026-01-13 01:25
10K
faircamp-1.7.0-r1.apk
2026-01-13 01:25
2.6M
sqlmap-pyc-1.10-r0.apk
2026-01-12 17:58
1.2M
sqlmap-1.10-r0.apk
2026-01-12 17:58
6.8M
gmid-openrc-2.1.1-r1.apk
2026-01-12 17:58
2.0K
gmid-doc-2.1.1-r1.apk
2026-01-12 17:58
14K
gmid-2.1.1-r1.apk
2026-01-12 17:58
230K
81voltd-systemd-1.1.0-r0.apk
2026-01-11 19:17
1.5K
81voltd-openrc-1.1.0-r0.apk
2026-01-11 19:17
1.5K
81voltd-doc-1.1.0-r0.apk
2026-01-11 19:17
2.0K
81voltd-1.1.0-r0.apk
2026-01-11 19:17
12K
rustical-0.11.11-r0.apk
2026-01-11 15:32
4.5M
chimerautils-dbg-15.0.3-r0.apk
2026-01-11 01:16
3.3M
chimerautils-15.0.3-r0.apk
2026-01-11 01:16
1.2M
wok-pyc-3.0.0-r6.apk
2026-01-11 01:09
128K
wok-lang-3.0.0-r6.apk
2026-01-11 01:09
16K
wok-doc-3.0.0-r6.apk
2026-01-11 01:09
3.4K
wok-3.0.0-r6.apk
2026-01-11 01:09
153K
vice-3.9-r3.apk
2026-01-11 01:09
14M
theforceengine-doc-1.09.540-r2.apk
2026-01-11 01:09
6.3M
theforceengine-1.09.540-r2.apk
2026-01-11 01:09
6.9M
spnavcfg-1.3-r0.apk
2026-01-11 01:09
39K
smb4k-doc-4.0.5-r0.apk
2026-01-11 01:09
4.6M
smb4k-4.0.5-r0.apk
2026-01-11 01:09
1.7M
ruby-path_expander-2.0.1-r0.apk
2026-01-11 01:09
6.4K
ruby-minitest-autotest-1.2.1-r0.apk
2026-01-11 01:09
14K
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2026-01-11 01:09
2.6M
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2026-01-11 01:09
39K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2026-01-11 01:09
23K
neocmakelsp-zsh-completion-0.9.1-r0.apk
2026-01-11 01:09
1.7K
neocmakelsp-fish-completion-0.9.1-r0.apk
2026-01-11 01:09
1.4K
neocmakelsp-doc-0.9.1-r0.apk
2026-01-11 01:09
5.6K
neocmakelsp-bash-completion-0.9.1-r0.apk
2026-01-11 01:09
1.7K
neocmakelsp-0.9.1-r0.apk
2026-01-11 01:09
1.7M
kimchi-pyc-3.0.0-r8.apk
2026-01-11 01:09
476K
kimchi-lang-3.0.0-r8.apk
2026-01-11 01:09
172K
kimchi-3.0.0-r8.apk
2026-01-11 01:09
529K
kbs2-zsh-completion-0.7.3-r0.apk
2026-01-11 01:09
3.8K
kbs2-fish-completion-0.7.3-r0.apk
2026-01-11 01:09
3.2K
kbs2-bash-completion-0.7.3-r0.apk
2026-01-11 01:09
3.0K
kbs2-0.7.3-r0.apk
2026-01-11 01:09
1.2M
k2-0_git20250903-r0.apk
2026-01-11 01:09
74K
gingerbase-pyc-2.3.0-r7.apk
2026-01-11 01:09
71K
gingerbase-lang-2.3.0-r7.apk
2026-01-11 01:09
52K
gingerbase-2.3.0-r7.apk
2026-01-11 01:09
193K
ginger-pyc-2.4.0-r7.apk
2026-01-11 01:09
252K
ginger-lang-2.4.0-r7.apk
2026-01-11 01:09
125K
ginger-2.4.0-r7.apk
2026-01-11 01:09
251K
darkradiant-lang-3.9.0-r1.apk
2026-01-11 01:09
37K
darkradiant-doc-3.9.0-r1.apk
2026-01-11 01:09
2.2M
darkradiant-3.9.0-r1.apk
2026-01-11 01:09
9.8M
cpp-httplib-doc-0.30.1-r0.apk
2026-01-11 01:09
14K
cpp-httplib-0.30.1-r0.apk
2026-01-11 01:09
95K
timeshift-lang-25.12.4-r0.apk
2026-01-10 15:40
1.0M
timeshift-doc-25.12.4-r0.apk
2026-01-10 15:40
2.9K
timeshift-25.12.4-r0.apk
2026-01-10 15:40
443K
spacenavd-1.3.1-r0.apk
2026-01-10 15:40
33K
py3-xapp-3.0.1-r0.apk
2026-01-10 15:40
72K
onioncat-doc-4.11.0-r1.apk
2026-01-10 15:40
19K
onioncat-4.11.0-r1.apk
2026-01-10 15:40
58K
m2r2-pyc-0.3.4-r0.apk
2026-01-10 15:40
15K
m2r2-0.3.4-r0.apk
2026-01-10 15:40
12K
libtsm-dev-4.3.0-r0.apk
2026-01-10 15:40
7.8K
libtsm-4.3.0-r0.apk
2026-01-10 15:40
26K
kodi-vfs-sftp-20.2.0-r1.apk
2026-01-10 15:40
51K
kodi-vfs-sacd-20.1.0-r1.apk
2026-01-10 15:40
99K
kodi-vfs-rar-20.1.0-r1.apk
2026-01-10 15:40
410K
kodi-vfs-libarchive-21.0.2-r0.apk
2026-01-10 15:40
113K
kodi-pvr-iptvsimple-21.10.2-r0.apk
2026-01-10 15:40
932K
kodi-pvr-hts-21.2.6-r0.apk
2026-01-10 15:40
302K
kodi-peripheral-joystick-20.1.9-r0.apk
2026-01-10 15:40
211K
kodi-inputstream-rtmp-21.1.2-r0.apk
2026-01-10 15:40
88K
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2026-01-10 15:40
350K
kodi-inputstream-adaptive-21.5.9-r0.apk
2026-01-10 15:40
1.0M
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2026-01-10 15:40
13K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2026-01-10 15:40
23K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2026-01-10 15:40
20K
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2026-01-10 15:40
17K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2026-01-10 15:40
1.3M
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2026-01-10 15:40
324K
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2026-01-10 15:40
158K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2026-01-10 15:40
177K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2026-01-10 15:40
71K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2026-01-10 15:40
15K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2026-01-10 15:40
14K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2026-01-10 15:40
17K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2026-01-10 15:40
17K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2026-01-10 15:40
61K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2026-01-10 15:40
51K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2026-01-10 15:40
18K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2026-01-10 15:40
14K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2026-01-10 15:40
12K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2026-01-10 15:40
13K
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2026-01-10 15:40
238K
kodi-game-libretro-20.1.0-r0.apk
2026-01-10 15:40
116K
kodi-audioencoder-wav-20.2.0-r1.apk
2026-01-10 15:40
24K
kodi-audioencoder-vorbis-20.2.0-r1.apk
2026-01-10 15:40
32K
kodi-audioencoder-lame-20.3.0-r1.apk
2026-01-10 15:40
89K
kodi-audioencoder-flac-20.2.0-r1.apk
2026-01-10 15:40
40K
kmscon-systemd-9.2.1-r0.apk
2026-01-10 15:40
2.4K
kmscon-doc-9.2.1-r0.apk
2026-01-10 15:40
11K
kmscon-9.2.1-r0.apk
2026-01-10 15:40
858K
clatd-2.1.0-r0.apk
2026-01-10 15:40
14K
cargo-udeps-doc-0.1.60-r0.apk
2026-01-10 15:40
7.2K
cargo-udeps-0.1.60-r0.apk
2026-01-10 15:40
4.9M
appimagetool-1.9.1-r0.apk
2026-01-10 15:40
38K
amber-0.5.1_alpha-r0.apk
2026-01-08 15:32
901K
py3-iterable-io-pyc-1.0.1-r0.apk
2026-01-08 14:53
5.1K
py3-iterable-io-1.0.1-r0.apk
2026-01-08 14:53
5.7K
kcbench-doc-0.9.14-r0.apk
2026-01-07 17:59
20K
kcbench-0.9.14-r0.apk
2026-01-07 17:59
37K
sdl3_image-doc-3.2.6-r0.apk
2026-01-07 13:28
1.9K
sdl3_image-dev-3.2.6-r0.apk
2026-01-07 13:28
12K
sdl3_image-3.2.6-r0.apk
2026-01-07 13:28
78K
formiko-pyc-1.5.0-r1.apk
2026-01-07 11:32
61K
formiko-doc-1.5.0-r1.apk
2026-01-07 11:32
8.3K
formiko-1.5.0-r1.apk
2026-01-07 11:32
107K
rizin-cutter-dev-2.4.1-r1.apk
2026-01-07 06:44
103K
rizin-cutter-2.4.1-r1.apk
2026-01-07 06:44
2.5M
gradia-lang-1.11.1-r0.apk
2026-01-07 06:44
64K
gradia-dev-1.11.1-r0.apk
2026-01-07 06:44
2.3K
gradia-1.11.1-r0.apk
2026-01-07 06:44
786K
coldbrew-1.0-r0.apk
2026-01-07 06:44
3.6K
xiccd-doc-0.4.1-r0.apk
2026-01-07 05:34
3.3K
xiccd-0.4.1-r0.apk
2026-01-07 05:34
14K
turntable-lang-0.5.1-r0.apk
2026-01-07 05:34
27K
turntable-0.5.1-r0.apk
2026-01-07 05:34
243K
orage-lang-4.21.0-r0.apk
2026-01-07 05:34
1.2M
orage-4.21.0-r0.apk
2026-01-07 05:34
598K
mint-y-icons-doc-1.9.1-r0.apk
2026-01-07 05:34
12K
mint-y-icons-1.9.1-r0.apk
2026-01-07 05:34
73M
log4cxx-dev-1.5.0-r0.apk
2026-01-07 05:34
149K
log4cxx-1.5.0-r0.apk
2026-01-07 05:34
546K
kew-doc-3.7.3-r0.apk
2026-01-07 05:34
3.4K
kew-3.7.3-r0.apk
2026-01-07 05:34
565K
errands-lang-46.2.10-r0.apk
2026-01-07 05:34
77K
errands-46.2.10-r0.apk
2026-01-07 05:34
84K
cobang-lang-2.3.1-r0.apk
2026-01-07 05:34
15K
cobang-2.3.1-r0.apk
2026-01-07 05:34
50K
yaru-theme-yellow-25.10.3-r0.apk
2026-01-06 09:34
766K
yaru-theme-wartybrown-25.10.3-r0.apk
2026-01-06 09:34
764K
yaru-theme-viridian-25.10.3-r0.apk
2026-01-06 09:34
760K
yaru-theme-sage-25.10.3-r0.apk
2026-01-06 09:34
763K
yaru-theme-red-25.10.3-r0.apk
2026-01-06 09:34
760K
yaru-theme-purple-25.10.3-r0.apk
2026-01-06 09:34
757K
yaru-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 09:34
759K
yaru-theme-olive-25.10.3-r0.apk
2026-01-06 09:34
759K
yaru-theme-mate-25.10.3-r0.apk
2026-01-06 09:34
772K
yaru-theme-magenta-25.10.3-r0.apk
2026-01-06 09:34
762K
yaru-theme-hdpi-25.10.3-r0.apk
2026-01-06 09:34
73K
yaru-theme-blue-25.10.3-r0.apk
2026-01-06 09:34
766K
yaru-theme-bark-25.10.3-r0.apk
2026-01-06 09:34
763K
yaru-theme-25.10.3-r0.apk
2026-01-06 09:34
840K
yaru-sounds-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-shell-25.10.3-r0.apk
2026-01-06 09:34
232K
yaru-schemas-25.10.3-r0.apk
2026-01-06 09:34
1.6K
yaru-icon-theme-yellow-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-wartybrown-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-viridian-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-sage-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-red-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-purple-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-olive-25.10.3-r0.apk
2026-01-06 09:34
1.1M
yaru-icon-theme-mate-25.10.3-r0.apk
2026-01-06 09:34
1.3M
yaru-icon-theme-magenta-25.10.3-r0.apk
2026-01-06 09:34
1.1M
yaru-icon-theme-blue-25.10.3-r0.apk
2026-01-06 09:34
1.2M
yaru-icon-theme-bark-25.10.3-r0.apk
2026-01-06 09:34
1.1M
yaru-icon-theme-25.10.3-r0.apk
2026-01-06 09:34
35M
yaru-gtksourceview-25.10.3-r0.apk
2026-01-06 09:34
4.7K
yaru-common-25.10.3-r0.apk
2026-01-06 09:34
1.0K
py3-scrapy-pyc-2.13.4-r0.apk
2026-01-06 01:27
521K
py3-scrapy-2.13.4-r0.apk
2026-01-06 01:27
252K
youki-dbg-0.5.7-r0.apk
2026-01-06 00:18
8.1M
youki-0.5.7-r0.apk
2026-01-06 00:18
1.7M
katarakt-0.3-r0.apk
2026-01-06 00:18
111K
uclient-fetch-20251003-r0.apk
2026-01-05 20:39
9.9K
uclient-dev-20251003-r0.apk
2026-01-05 20:39
3.3K
uclient-20251003-r0.apk
2026-01-05 20:39
18K
rygel-systemd-45.1-r0.apk
2026-01-05 16:37
1.5K
rygel-lang-45.1-r0.apk
2026-01-05 16:37
591K
rygel-doc-45.1-r0.apk
2026-01-05 16:37
9.4K
rygel-dev-45.1-r0.apk
2026-01-05 16:37
42K
rygel-45.1-r0.apk
2026-01-05 16:37
752K
comet-0.3.2-r0.apk
2026-01-05 03:01
2.8M
tree-sitter-typst-0.11.0-r1.apk
2026-01-04 18:55
110K
phosh-tour-systemd-0.52.0-r0.apk
2026-01-04 18:55
1.5K
phosh-tour-lang-0.52.0-r0.apk
2026-01-04 18:55
36K
phosh-tour-0.52.0-r0.apk
2026-01-04 18:55
39K
refine-lang-0.7.0-r0.apk
2026-01-04 17:52
31K
refine-0.7.0-r0.apk
2026-01-04 17:52
35K
octoprint-pisupport-pyc-2025.7.23-r0.apk
2026-01-04 17:52
14K
octoprint-pisupport-2025.7.23-r0.apk
2026-01-04 17:52
31K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
2026-01-04 17:52
17K
octoprint-firmwarecheck-2025.7.23-r0.apk
2026-01-04 17:52
28K
octoprint-filecheck-pyc-2025.7.23-r0.apk
2026-01-04 17:52
11K
octoprint-filecheck-2025.7.23-r0.apk
2026-01-04 17:52
28K
bazaar-systemd-0.7.2-r0.apk
2026-01-04 17:52
1.5K
bazaar-lang-0.7.2-r0.apk
2026-01-04 17:52
243K
bazaar-0.7.2-r0.apk
2026-01-04 17:52
497K
tayga-doc-0.9.6-r0.apk
2026-01-04 15:17
5.7K
tayga-0.9.6-r0.apk
2026-01-04 15:17
29K
pandora_box-0.18.0-r0.apk
2026-01-04 14:50
451K
ffmpeg4-libswscale-4.4.6-r0.apk
2026-01-04 02:45
167K
ffmpeg4-libswresample-4.4.6-r0.apk
2026-01-04 02:45
42K
ffmpeg4-libpostproc-4.4.6-r0.apk
2026-01-04 02:45
27K
ffmpeg4-libavutil-4.4.6-r0.apk
2026-01-04 02:45
318K
ffmpeg4-libavformat-4.4.6-r0.apk
2026-01-04 02:45
1.2M
ffmpeg4-libavfilter-4.4.6-r0.apk
2026-01-04 02:45
1.6M
ffmpeg4-libavdevice-4.4.6-r0.apk
2026-01-04 02:45
47K
ffmpeg4-libavcodec-4.4.6-r0.apk
2026-01-04 02:45
6.8M
ffmpeg4-dev-4.4.6-r0.apk
2026-01-04 02:45
281K
ffmpeg4-4.4.6-r0.apk
2026-01-04 02:45
32K
ufw-docker-doc-251123-r0.apk
2026-01-04 01:59
13K
ufw-docker-251123-r0.apk
2026-01-04 01:59
9.3K
ruby-minitest-focus-1.4.1-r0.apk
2026-01-04 01:59
6.1K
ruby-hoe-4.5.1-r0.apk
2026-01-04 01:58
29K
wolfssh-dev-1.4.17-r2.apk
2026-01-04 00:50
298K
wolfssh-1.4.17-r2.apk
2026-01-04 00:50
136K
tree-sitter-make-1.1.1-r0.apk
2026-01-03 21:55
39K
identities-0.2.3-r0.apk
2026-01-03 20:10
18K
gmic-qt-3.6.0-r2.apk
2026-01-03 20:05
1.6M
gmic-libs-3.6.0-r2.apk
2026-01-03 20:05
3.2M
gmic-doc-3.6.0-r2.apk
2026-01-03 20:05
223K
gmic-dev-3.6.0-r2.apk
2026-01-03 20:05
7.4K
gmic-bash-completion-3.6.0-r2.apk
2026-01-03 20:05
28K
gmic-3.6.0-r2.apk
2026-01-03 20:05
12M
gimp-plugin-gmic-3.6.0-r2.apk
2026-01-03 20:05
1.3M
php82-pecl-amqp-2.2.0-r0.apk
2026-01-03 03:12
57K
php81-pecl-amqp-2.2.0-r0.apk
2026-01-03 03:12
56K
pypykatz-pyc-0.6.13-r1.apk
2026-01-02 21:09
724K
pypykatz-0.6.13-r1.apk
2026-01-02 21:09
316K
libnfcdef-dev-1.1.0-r0.apk
2026-01-02 18:35
5.7K
libnfcdef-1.1.0-r0.apk
2026-01-02 18:35
13K
freshrss-themes-1.28.0-r0.apk
2026-01-02 02:40
1.5M
freshrss-sqlite-1.28.0-r0.apk
2026-01-02 02:40
1.0K
freshrss-pgsql-1.28.0-r0.apk
2026-01-02 02:40
1.0K
freshrss-openrc-1.28.0-r0.apk
2026-01-02 02:40
2.2K
freshrss-mysql-1.28.0-r0.apk
2026-01-02 02:40
1.0K
freshrss-lang-1.28.0-r0.apk
2026-01-02 02:40
507K
freshrss-doc-1.28.0-r0.apk
2026-01-02 02:40
912K
freshrss-1.28.0-r0.apk
2026-01-02 02:40
1.6M
fuseiso-doc-20070708-r0.apk
2026-01-01 20:38
2.3K
fuseiso-20070708-r0.apk
2026-01-01 20:38
15K
lgogdownloader-doc-3.18-r0.apk
2026-01-01 00:57
8.2K
lgogdownloader-3.18-r0.apk
2026-01-01 00:57
363K
nfcd-systemd-1.2.4-r0.apk
2025-12-31 17:54
1.6K
nfcd-dev-1.2.4-r0.apk
2025-12-31 17:54
24K
nfcd-1.2.4-r0.apk
2025-12-31 17:54
342K
miracle-wm-dev-0.8.3-r0.apk
2025-12-31 17:54
15K
miracle-wm-0.8.3-r0.apk
2025-12-31 17:54
467K
ropgadget-pyc-7.7-r0.apk
2025-12-31 17:23
48K
ropgadget-7.7-r0.apk
2025-12-31 17:23
26K
vcmi-1.7.1-r0.apk
2025-12-31 09:04
13M
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 22:32
30K
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 22:32
30K
texlab-5.25.0-r0.apk
2025-12-30 22:29
8.7M
py3-py-radix-pyc-0.10.0-r11.apk
2025-12-30 22:01
10K
py3-py-radix-0.10.0-r11.apk
2025-12-30 22:01
21K
py3-createrepo_c-pyc-1.1.4-r1.apk
2025-12-30 21:59
14K
py3-createrepo_c-1.1.4-r1.apk
2025-12-30 21:59
43K
createrepo_c-libs-1.1.4-r1.apk
2025-12-30 21:59
87K
createrepo_c-doc-1.1.4-r1.apk
2025-12-30 21:59
8.3K
createrepo_c-dev-1.1.4-r1.apk
2025-12-30 21:59
30K
createrepo_c-bash-completion-1.1.4-r1.apk
2025-12-30 21:59
2.6K
createrepo_c-1.1.4-r1.apk
2025-12-30 21:59
44K
libigraph-dev-1.0.1-r0.apk
2025-12-30 14:54
89K
libigraph-1.0.1-r0.apk
2025-12-30 14:54
1.7M
q6voiced-systemd-0.2.1-r0.apk
2025-12-30 14:28
1.6K
q6voiced-openrc-0.2.1-r0.apk
2025-12-30 14:28
1.5K
q6voiced-doc-0.2.1-r0.apk
2025-12-30 14:28
2.0K
q6voiced-0.2.1-r0.apk
2025-12-30 14:28
4.1K
py3-r2pipe-pyc-5.9.0-r0.apk
2025-12-29 22:20
21K
py3-r2pipe-doc-5.9.0-r0.apk
2025-12-29 22:20
22K
py3-r2pipe-5.9.0-r0.apk
2025-12-29 22:20
11K
libsquish-libs-1.15-r0.apk
2025-12-29 18:52
21K
libsquish-doc-1.15-r0.apk
2025-12-29 18:52
2.0K
libsquish-dev-1.15-r0.apk
2025-12-29 18:52
4.5K
libsquish-1.15-r0.apk
2025-12-29 18:52
1.0K
libdcmtk-3.7.0-r0.apk
2025-12-28 21:59
6.6M
dcmtk-openrc-3.7.0-r0.apk
2025-12-28 21:59
1.5K
dcmtk-doc-3.7.0-r0.apk
2025-12-28 21:59
258K
dcmtk-dev-3.7.0-r0.apk
2025-12-28 21:59
1.7M
dcmtk-3.7.0-r0.apk
2025-12-28 21:59
1.2M
php82-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 21:36
220K
php82-pecl-swoole-6.1.6-r0.apk
2025-12-28 21:36
944K
php81-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 21:36
220K
php81-pecl-swoole-6.1.6-r0.apk
2025-12-28 21:36
942K
usb-moded-notify-systemd-0.3.0-r0.apk
2025-12-28 19:17
1.5K
usb-moded-notify-0.3.0-r0.apk
2025-12-28 19:17
2.8K
recoll-doc-1.43.9-r0.apk
2025-12-28 09:03
33K
recoll-dev-1.43.9-r0.apk
2025-12-28 09:03
55K
recoll-1.43.9-r0.apk
2025-12-28 09:03
3.1M
hare-lsp-0.1.0-r0.apk
2025-12-27 19:00
663K
mkosi-sandbox-26-r1.apk
2025-12-27 18:18
13K
mkosi-pyc-26-r1.apk
2025-12-27 18:18
438K
mkosi-doc-26-r1.apk
2025-12-27 18:18
75K
mkosi-26-r1.apk
2025-12-27 18:18
283K
distroshelf-lang-1.3.0-r0.apk
2025-12-27 16:56
37K
distroshelf-1.3.0-r0.apk
2025-12-27 16:56
3.4M
kronosnet-doc-1.32-r0.apk
2025-12-27 14:11
108K
kronosnet-dev-1.32-r0.apk
2025-12-27 14:11
4.0M
kronosnet-1.32-r0.apk
2025-12-27 14:11
71K
corosync-openrc-3.1.10-r0.apk
2025-12-27 14:11
1.5K
corosync-doc-3.1.10-r0.apk
2025-12-27 14:11
190K
corosync-dev-3.1.10-r0.apk
2025-12-27 14:11
949K
corosync-3.1.10-r0.apk
2025-12-27 14:11
271K
rustls-ffi-dev-0.15.0-r0.apk
2025-12-26 23:54
3.0M
rustls-ffi-0.15.0-r0.apk
2025-12-26 23:54
1.0M
stone-soup-0.33.1-r0.apk
2025-12-26 17:10
29M
py3-zope-i18nmessageid-pyc-8.2-r0.apk
2025-12-25 13:30
8.1K
py3-zope-i18nmessageid-8.2-r0.apk
2025-12-25 13:30
14K
php85-snappy-0.2.3-r0.apk
2025-12-25 01:04
4.8K
valent-libs-1.0.0_alpha49-r0.apk
2025-12-24 19:41
132K
valent-lang-1.0.0_alpha49-r0.apk
2025-12-24 19:41
39K
valent-dev-1.0.0_alpha49-r0.apk
2025-12-24 19:41
94K
valent-1.0.0_alpha49-r0.apk
2025-12-24 19:41
348K
zfs-src-2.4.0-r0.apk
2025-12-24 11:54
33M
yamkix-pyc-0.14.0-r0.apk
2025-12-24 11:54
20K
yamkix-0.14.0-r0.apk
2025-12-24 11:54
17K
xml2rfc-pyc-3.28.1-r3.apk
2025-12-24 11:54
407K
xml2rfc-3.28.1-r3.apk
2025-12-24 11:54
352K
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2025-12-24 11:54
2.2K
xdg-desktop-portal-hyprland-1.3.11-r0.apk
2025-12-24 11:54
306K
wlr-sunclock-1.2.1-r0.apk
2025-12-24 11:54
42K
wasmtime-dev-39.0.1-r0.apk
2025-12-24 11:54
114K
wasmtime-39.0.1-r0.apk
2025-12-24 11:54
9.5M
vmlinux.h-6.18-r0.apk
2025-12-24 11:54
224K
ty-zsh-completion-0.0.4-r0.apk
2025-12-24 11:54
3.2K
ty-pyc-0.0.4-r0.apk
2025-12-24 11:54
3.6K
ty-fish-completion-0.0.4-r0.apk
2025-12-24 11:54
2.9K
ty-bash-completion-0.0.4-r0.apk
2025-12-24 11:54
2.3K
ty-0.0.4-r0.apk
2025-12-24 11:54
6.8M
tpm2-pkcs11-pyc-1.9.2-r0.apk
2025-12-24 11:54
70K
tpm2-pkcs11-dev-1.9.2-r0.apk
2025-12-24 11:54
1.6K
tpm2-pkcs11-1.9.2-r0.apk
2025-12-24 11:54
133K
tartube-pyc-2.5.0-r2.apk
2025-12-24 11:54
1.1M
tartube-2.5.0-r2.apk
2025-12-24 11:54
2.7M
tachyon-scenes-0.99_beta6-r2.apk
2025-12-24 11:54
1.9M
tachyon-0.99_beta6-r2.apk
2025-12-24 11:54
101K
sydbox-vim-3.45.2-r0.apk
2025-12-24 11:54
7.0K
sydbox-utils-3.45.2-r0.apk
2025-12-24 11:54
6.7M
sydbox-test-3.45.2-r0.apk
2025-12-24 11:54
2.0M
sydbox-syd-3.45.2-r0.apk
2025-12-24 11:54
2.2M
sydbox-oci-3.45.2-r0.apk
2025-12-24 11:54
3.1M
sydbox-doc-3.45.2-r0.apk
2025-12-24 11:54
165K
sydbox-3.45.2-r0.apk
2025-12-24 11:54
5.0M
syd-tui-0.2.2-r0.apk
2025-12-24 11:54
410K
svls-doc-0.2.14-r0.apk
2025-12-24 11:54
2.0K
svls-0.2.14-r0.apk
2025-12-24 11:54
3.5M
supersonik-0.1.0-r3.apk
2025-12-24 11:54
1.1M
srb2-data-2.2.15-r2.apk
2025-12-24 11:53
160M
srb2-2.2.15-r2.apk
2025-12-24 11:53
1.9M
spiped-1.6.4-r0.apk
2025-12-24 11:53
75K
smile-lang-2.11.0-r0.apk
2025-12-24 11:53
28K
smile-2.11.0-r0.apk
2025-12-24 11:53
873K
scenefx-doc-0.4.1-r0.apk
2025-12-24 11:53
2.0K
scenefx-dev-0.4.1-r0.apk
2025-12-24 11:53
11K
scenefx-0.4.1-r0.apk
2025-12-24 11:53
56K
sc-controller-udev-0.5.5-r0.apk
2025-12-24 11:53
1.8K
sc-controller-pyc-0.5.5-r0.apk
2025-12-24 11:53
814K
sc-controller-0.5.5-r0.apk
2025-12-24 11:53
1.3M
sandbar-0.2_git20250909-r0.apk
2025-12-24 11:53
15K
rustscan-2.4.1-r0.apk
2025-12-24 11:53
1.6M
repo-doc-2.60-r0.apk
2025-12-24 11:53
39K
repo-2.60-r0.apk
2025-12-24 11:53
17K
qtmir-dev-0.7.2_git20250407-r5.apk
2025-12-24 11:53
6.4K
qtmir-0.7.2_git20250407-r5.apk
2025-12-24 11:53
515K
qt6ct-0.11-r2.apk
2025-12-24 11:53
188K
qt6-qtgraphs-dev-6.10.1-r0.apk
2025-12-24 11:53
118K
qt6-qtgraphs-6.10.1-r0.apk
2025-12-24 11:53
1.1M
qownnotes-lang-25.12.5-r0.apk
2025-12-24 11:53
4.9M
qownnotes-25.12.5-r0.apk
2025-12-24 11:53
2.5M
py3-zope-configuration-pyc-7.0-r0.apk
2025-12-24 11:53
49K
py3-zope-configuration-7.0-r0.apk
2025-12-24 11:53
38K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
2025-12-24 11:53
11K
py3-virtualenvwrapper-6.1.1-r1.apk
2025-12-24 11:53
21K
py3-python-iptables-pyc-1.2.0-r1.apk
2025-12-24 11:53
68K
py3-python-iptables-1.2.0-r1.apk
2025-12-24 11:53
37K
py3-pymupdf-pyc-1.26.4-r2.apk
2025-12-24 11:53
500K
py3-pymupdf-1.26.4-r2.apk
2025-12-24 11:53
319K
py3-mando-pyc-0.8.2-r0.apk
2025-12-24 11:53
35K
py3-mando-doc-0.8.2-r0.apk
2025-12-24 11:53
3.9K
py3-mando-0.8.2-r0.apk
2025-12-24 11:53
21K
py3-joserfc-pyc-1.5.0-r0.apk
2025-12-24 11:53
110K
py3-joserfc-1.5.0-r0.apk
2025-12-24 11:53
54K
py3-iso639-lang-pyc-2.6.3-r0.apk
2025-12-24 11:53
12K
py3-iso639-lang-2.6.3-r0.apk
2025-12-24 11:53
311K
py3-icalendar-searcher-pyc-1.0.3-r0.apk
2025-12-24 11:53
26K
py3-icalendar-searcher-1.0.3-r0.apk
2025-12-24 11:53
35K
py3-gdcm-3.2.2-r3.apk
2025-12-24 11:53
700K
py3-evalidate-pyc-2.1.3-r0.apk
2025-12-24 11:53
12K
py3-evalidate-2.1.3-r0.apk
2025-12-24 11:53
13K
py3-caldav-pyc-2.2.3-r0.apk
2025-12-24 11:53
154K
py3-caldav-2.2.3-r0.apk
2025-12-24 11:53
112K
py3-beartype-pyc-0.22.9-r0.apk
2025-12-24 11:53
744K
py3-beartype-0.22.9-r0.apk
2025-12-24 11:53
1.0M
py3-b2sdk-pyc-2.10.2-r0.apk
2025-12-24 11:53
429K
py3-b2sdk-2.10.2-r0.apk
2025-12-24 11:53
227K
py3-apt-pyc-3.1.0-r0.apk
2025-12-24 11:53
119K
py3-apt-lang-3.1.0-r0.apk
2025-12-24 11:53
79K
py3-apt-3.1.0-r0.apk
2025-12-24 11:53
175K
punch-pyc-0.1.1-r0.apk
2025-12-24 11:53
30K
punch-0.1.1-r0.apk
2025-12-24 11:53
29K
php85-pecl-luasandbox-4.1.3-r0.apk
2025-12-24 11:53
30K
php82-pecl-xdebug-3.5.0-r0.apk
2025-12-24 11:53
153K
php82-pecl-apcu-5.1.28-r0.apk
2025-12-24 11:53
55K
php81-pecl-xdebug-3.5.0-r0.apk
2025-12-24 11:53
153K
php81-pecl-apcu-5.1.28-r0.apk
2025-12-24 11:53
55K
phosh-osk-data-uk-0.42.0-r0.apk
2025-12-24 11:53
16M
phosh-osk-data-se-0.42.0-r0.apk
2025-12-24 11:53
15M
phosh-osk-data-ru-0.42.0-r0.apk
2025-12-24 11:53
20M
phosh-osk-data-pt-0.42.0-r0.apk
2025-12-24 11:53
57M
phosh-osk-data-pl-0.42.0-r0.apk
2025-12-24 11:53
57M
phosh-osk-data-nl-0.42.0-r0.apk
2025-12-24 11:53
54M
phosh-osk-data-it-0.42.0-r0.apk
2025-12-24 11:53
58M
phosh-osk-data-fi-0.42.0-r0.apk
2025-12-24 11:53
64M
phosh-osk-data-es-0.42.0-r0.apk
2025-12-24 11:53
52M
phosh-osk-data-de-0.42.0-r0.apk
2025-12-24 11:53
64M
phosh-osk-data-0.42.0-r0.apk
2025-12-24 11:53
1.0K
perl-sys-virt-doc-11.10.0-r0.apk
2025-12-24 11:53
106K
perl-sys-virt-11.10.0-r0.apk
2025-12-24 11:53
212K
perl-netaddr-mac-doc-0.99-r0.apk
2025-12-24 11:53
7.8K
perl-netaddr-mac-0.99-r0.apk
2025-12-24 11:53
9.7K
perl-finance-quote-doc-1.68-r0.apk
2025-12-24 11:53
90K
perl-finance-quote-1.68-r0.apk
2025-12-24 11:53
114K
ovn-openrc-25.09.2-r0.apk
2025-12-24 11:53
2.1K
ovn-doc-25.09.2-r0.apk
2025-12-24 11:53
263K
ovn-dev-25.09.2-r0.apk
2025-12-24 11:53
5.5M
ovn-dbg-25.09.2-r0.apk
2025-12-24 11:53
7.1M
ovn-25.09.2-r0.apk
2025-12-24 11:53
2.0M
nwipe-doc-0.39-r0.apk
2025-12-24 11:53
3.5K
nwipe-0.39-r0.apk
2025-12-24 11:53
271K
ntpd-rs-openrc-1.6.2-r2.apk
2025-12-24 11:53
1.7K
ntpd-rs-doc-1.6.2-r2.apk
2025-12-24 11:53
24K
ntpd-rs-1.6.2-r2.apk
2025-12-24 11:53
3.2M
mir-test-tools-2.25.2-r0.apk
2025-12-24 11:53
1.4M
mir-dev-2.25.2-r0.apk
2025-12-24 11:53
15M
mir-demos-2.25.2-r0.apk
2025-12-24 11:53
158K
mir-2.25.2-r0.apk
2025-12-24 11:53
2.5M
microsocks-openrc-1.0.5-r0.apk
2025-12-24 11:53
1.6K
microsocks-doc-1.0.5-r0.apk
2025-12-24 11:53
3.7K
microsocks-1.0.5-r0.apk
2025-12-24 11:53
7.7K
mergerfs-doc-2.41.1-r0.apk
2025-12-24 11:53
3.1K
mergerfs-2.41.1-r0.apk
2025-12-24 11:53
413K
mapserver-dev-8.4.1-r1.apk
2025-12-24 11:53
540K
mapserver-8.4.1-r1.apk
2025-12-24 11:53
1.3M
lomiri-ui-extras-lang-0.8.0-r0.apk
2025-12-24 11:53
52K
lomiri-ui-extras-0.8.0-r0.apk
2025-12-24 11:53
255K
lomiri-trust-store-lang-2.0.2-r14.apk
2025-12-24 11:53
27K
lomiri-trust-store-dev-2.0.2-r14.apk
2025-12-24 11:53
8.9K
lomiri-trust-store-2.0.2-r14.apk
2025-12-24 11:53
1.0M
lomiri-thumbnailer-doc-3.1.0-r0.apk
2025-12-24 11:53
123K
lomiri-thumbnailer-dev-3.1.0-r0.apk
2025-12-24 11:53
4.8K
lomiri-thumbnailer-3.1.0-r0.apk
2025-12-24 11:53
221K
lomiri-libusermetrics-lang-1.4.0-r0.apk
2025-12-24 11:53
45K
lomiri-libusermetrics-doc-1.4.0-r0.apk
2025-12-24 11:53
227K
lomiri-libusermetrics-dev-1.4.0-r0.apk
2025-12-24 11:53
7.6K
lomiri-libusermetrics-1.4.0-r0.apk
2025-12-24 11:53
217K
lomiri-download-manager-lang-0.3.0-r0.apk
2025-12-24 11:53
30K
lomiri-download-manager-doc-0.3.0-r0.apk
2025-12-24 11:53
3.4M
lomiri-download-manager-dev-0.3.0-r0.apk
2025-12-24 11:53
17K
lomiri-download-manager-0.3.0-r0.apk
2025-12-24 11:53
584K
lomiri-app-launch-dev-0.1.12-r5.apk
2025-12-24 11:53
20K
lomiri-app-launch-0.1.12-r5.apk
2025-12-24 11:53
337K
linux-gpib-udev-4.3.7-r0.apk
2025-12-24 11:53
2.4K
linux-gpib-doc-4.3.7-r0.apk
2025-12-24 11:53
326K
linux-gpib-dev-4.3.7-r0.apk
2025-12-24 11:53
7.4K
linux-gpib-4.3.7-r0.apk
2025-12-24 11:53
61K
libwasmtime-static-39.0.1-r0.apk
2025-12-24 11:53
7.8M
libwasmtime-39.0.1-r0.apk
2025-12-24 11:53
4.3M
libgdcm-3.2.2-r3.apk
2025-12-24 11:53
2.8M
keepsecret-lang-1.0.0-r0.apk
2025-12-24 11:53
27K
keepsecret-1.0.0-r0.apk
2025-12-24 11:53
134K
inlyne-zsh-completion-0.5.0-r0.apk
2025-12-24 11:53
2.5K
inlyne-fish-completion-0.5.0-r0.apk
2025-12-24 11:53
2.2K
inlyne-doc-0.5.0-r0.apk
2025-12-24 11:53
2.0K
inlyne-bash-completion-0.5.0-r0.apk
2025-12-24 11:53
2.1K
inlyne-0.5.0-r0.apk
2025-12-24 11:53
6.8M
ibus-typing-booster-pyc-2.29.0-r0.apk
2025-12-24 11:53
1.5M
ibus-typing-booster-lang-2.29.0-r0.apk
2025-12-24 11:53
317K
ibus-typing-booster-2.29.0-r0.apk
2025-12-24 11:53
13M
hyprsunset-openrc-0.3.3-r1.apk
2025-12-24 11:53
1.5K
hyprsunset-doc-0.3.3-r1.apk
2025-12-24 11:53
2.2K
hyprsunset-0.3.3-r1.apk
2025-12-24 11:53
132K
hyprpicker-doc-0.4.5-r1.apk
2025-12-24 11:53
3.6K
hyprpicker-0.4.5-r1.apk
2025-12-24 11:53
112K
hyprlock-0.9.2-r0.apk
2025-12-24 11:53
388K
hypridle-openrc-0.1.7-r1.apk
2025-12-24 11:53
1.4K
hypridle-doc-0.1.7-r1.apk
2025-12-24 11:53
2.1K
hypridle-0.1.7-r1.apk
2025-12-24 11:53
128K
hyperrogue-doc-13.1i-r0.apk
2025-12-24 11:53
7.7K
hyperrogue-13.1i-r0.apk
2025-12-24 11:53
84M
hurl-zsh-completion-7.1.0-r0.apk
2025-12-24 11:53
3.9K
hurl-fish-completion-7.1.0-r0.apk
2025-12-24 11:53
3.4K
hurl-doc-7.1.0-r0.apk
2025-12-24 11:53
8.9K
hurl-bash-completion-7.1.0-r0.apk
2025-12-24 11:53
2.1K
hurl-7.1.0-r0.apk
2025-12-24 11:53
2.4M
gsettings-qt-dev-1.1.0-r0.apk
2025-12-24 11:53
3.3K
gsettings-qt-1.1.0-r0.apk
2025-12-24 11:53
29K
gdcm-dev-3.2.2-r3.apk
2025-12-24 11:53
450K
gdcm-3.2.2-r3.apk
2025-12-24 11:53
409K
fxload-2008.10.13-r0.apk
2025-12-24 11:53
8.0K
fheroes2-lang-1.1.13-r0.apk
2025-12-24 11:53
1.8M
fheroes2-1.1.13-r0.apk
2025-12-24 11:53
1.7M
fbdebug-1.0.1-r0.apk
2025-12-24 11:53
5.0K
dropwatch-doc-1.5.5-r2.apk
2025-12-24 11:52
3.4K
dropwatch-1.5.5-r2.apk
2025-12-24 11:52
15K
dam-doc-0_git20250728-r0.apk
2025-12-24 11:52
2.0K
dam-0_git20250728-r0.apk
2025-12-24 11:52
13K
copyq-doc-13.0.0-r1.apk
2025-12-24 11:52
3.2K
copyq-bash-completion-13.0.0-r1.apk
2025-12-24 11:52
2.0K
copyq-13.0.0-r1.apk
2025-12-24 11:52
2.6M
convert2json-yaml-json-2.4.1-r0.apk
2025-12-24 11:52
276K
convert2json-yaml-jaq-2.4.1-r0.apk
2025-12-24 11:52
285K
convert2json-yaml-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-xml-json-2.4.1-r0.apk
2025-12-24 11:52
208K
convert2json-xml-jaq-2.4.1-r0.apk
2025-12-24 11:52
218K
convert2json-xml-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-toml-json-2.4.1-r0.apk
2025-12-24 11:52
251K
convert2json-toml-jaq-2.4.1-r0.apk
2025-12-24 11:52
261K
convert2json-toml-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-rsv-json-2.4.1-r0.apk
2025-12-24 11:52
171K
convert2json-rsv-jaq-2.4.1-r0.apk
2025-12-24 11:52
183K
convert2json-rsv-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-plist-json-2.4.1-r0.apk
2025-12-24 11:52
251K
convert2json-plist-jaq-2.4.1-r0.apk
2025-12-24 11:52
261K
convert2json-plist-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-messagepack-json-2.4.1-r0.apk
2025-12-24 11:52
210K
convert2json-messagepack-jaq-2.4.1-r0.apk
2025-12-24 11:52
220K
convert2json-messagepack-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-json-2.4.1-r0.apk
2025-12-24 11:52
1.1K
convert2json-jaq-2.4.1-r0.apk
2025-12-24 11:52
1.1K
convert2json-ini-json-2.4.1-r0.apk
2025-12-24 11:52
196K
convert2json-ini-jaq-2.4.1-r0.apk
2025-12-24 11:52
206K
convert2json-ini-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-doc-2.4.1-r0.apk
2025-12-24 11:52
13K
convert2json-csv-json-2.4.1-r0.apk
2025-12-24 11:52
231K
convert2json-csv-jaq-2.4.1-r0.apk
2025-12-24 11:52
241K
convert2json-csv-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-cbor-json-2.4.1-r0.apk
2025-12-24 11:52
214K
convert2json-cbor-jaq-2.4.1-r0.apk
2025-12-24 11:52
224K
convert2json-cbor-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-bson-json-2.4.1-r0.apk
2025-12-24 11:52
251K
convert2json-bson-jaq-2.4.1-r0.apk
2025-12-24 11:52
261K
convert2json-bson-2.4.1-r0.apk
2025-12-24 11:52
1.0K
convert2json-2.4.1-r0.apk
2025-12-24 11:52
1.1K
conserver-openrc-8.2.7-r0.apk
2025-12-24 11:52
1.4K
conserver-doc-8.2.7-r0.apk
2025-12-24 11:52
27K
conserver-8.2.7-r0.apk
2025-12-24 11:52
132K
chess-tui-doc-2.0.0-r0.apk
2025-12-24 11:52
2.0K
chess-tui-2.0.0-r0.apk
2025-12-24 11:52
1.4M
birdtray-1.11.4-r0.apk
2025-12-24 11:52
444K
biometryd-dev-0.3.3-r0.apk
2025-12-24 11:52
13K
biometryd-0.3.3-r0.apk
2025-12-24 11:52
314K
android-build-tools-15.0-r1.apk
2025-12-24 11:52
1.8M
alacritty-graphics-zsh-completion-0.16.1-r0.apk
2025-12-24 11:52
3.1K
alacritty-graphics-fish-completion-0.16.1-r0.apk
2025-12-24 11:52
2.8K
alacritty-graphics-doc-0.16.1-r0.apk
2025-12-24 11:52
41K
alacritty-graphics-bash-completion-0.16.1-r0.apk
2025-12-24 11:52
2.5K
alacritty-graphics-0.16.1-r0.apk
2025-12-24 11:52
2.2M
mint-x-icons-doc-1.7.5-r0.apk
2025-12-01 07:37
7.6K
mint-x-icons-1.7.5-r0.apk
2025-12-01 07:37
23M
php82-pecl-brotli-0.18.3-r0.apk
2025-12-01 03:47
15K
php81-pecl-brotli-0.18.3-r0.apk
2025-12-01 03:47
15K
hatch-pyc-1.16.1-r0.apk
2025-11-30 21:02
246K
hatch-1.16.1-r0.apk
2025-11-30 21:02
115K
cbqn-0.10.0-r0.apk
2025-11-30 13:23
645K
qtox-1.18.3-r0.apk
2025-11-29 18:11
5.2M
py3-flask-httpauth-pyc-4.8.0-r3.apk
2025-11-29 13:52
10K
py3-flask-httpauth-4.8.0-r3.apk
2025-11-29 13:52
7.6K
wlroots0.18-static-0.18.3-r0.apk
2025-11-29 13:12
8.8M
wlroots0.18-dev-0.18.3-r0.apk
2025-11-29 13:12
82K
wlroots0.18-dbg-0.18.3-r0.apk
2025-11-29 13:12
1.6M
wlroots0.18-0.18.3-r0.apk
2025-11-29 13:12
386K
featherpad-lang-1.6.2-r0.apk
2025-11-29 13:12
485K
featherpad-1.6.2-r0.apk
2025-11-29 13:12
684K
compiz-utils-0.9.14.2-r13.apk
2025-11-29 13:12
3.1K
compiz-pyc-0.9.14.2-r13.apk
2025-11-29 13:12
111K
compiz-lang-0.9.14.2-r13.apk
2025-11-29 13:12
1.2M
compiz-dev-0.9.14.2-r13.apk
2025-11-29 13:12
117K
compiz-0.9.14.2-r13.apk
2025-11-29 13:12
5.9M
hpnssh-doc-18.8.0-r0.apk
2025-11-28 20:48
101K
hpnssh-18.8.0-r0.apk
2025-11-28 20:48
2.8M
nwg-panel-pyc-0.10.13-r0.apk
2025-11-28 14:30
269K
nwg-panel-doc-0.10.13-r0.apk
2025-11-28 14:30
4.2K
nwg-panel-0.10.13-r0.apk
2025-11-28 14:30
288K
php82-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 01:22
2.0K
php82-pecl-imagick-3.8.1-r0.apk
2025-11-28 01:22
112K
php81-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 01:22
2.0K
php81-pecl-imagick-3.8.1-r0.apk
2025-11-28 01:22
112K
php82-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 22:29
35K
php81-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 22:29
34K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
2025-11-27 21:09
1.5K
xdg-native-messaging-proxy-0.1.0-r0.apk
2025-11-27 21:09
22K
py3-markdownify-pyc-1.2.2-r0.apk
2025-11-27 21:09
18K
py3-markdownify-1.2.2-r0.apk
2025-11-27 21:09
16K
normaliz-libs-3.10.4-r3.apk
2025-11-26 10:16
2.6M
normaliz-dev-3.10.4-r3.apk
2025-11-26 10:16
72K
normaliz-3.10.4-r3.apk
2025-11-26 10:16
40K
libeantic-dev-2.1.0-r2.apk
2025-11-26 10:16
17K
libeantic-2.1.0-r2.apk
2025-11-26 10:16
76K
primesieve-libs-12.10-r0.apk
2025-11-25 20:39
122K
primesieve-doc-12.10-r0.apk
2025-11-25 20:39
3.8K
primesieve-dev-12.10-r0.apk
2025-11-25 20:39
3.5M
primesieve-12.10-r0.apk
2025-11-25 20:39
43K
primecount-libs-7.20-r0.apk
2025-11-25 20:39
151K
primecount-doc-7.20-r0.apk
2025-11-25 20:39
4.0K
primecount-dev-7.20-r0.apk
2025-11-25 20:39
4.7M
primecount-7.20-r0.apk
2025-11-25 20:39
29K
qsynth-doc-1.0.3-r0.apk
2025-11-25 12:04
4.1K
qsynth-1.0.3-r0.apk
2025-11-25 12:04
423K
php85-pecl-solr-2.9.1-r0.apk
2025-11-25 06:11
91K
php84-pecl-solr-2.9.1-r0.apk
2025-11-25 06:11
91K
guake-pyc-3.10.1-r0.apk
2025-11-24 19:08
186K
guake-lang-3.10.1-r0.apk
2025-11-24 19:08
194K
guake-3.10.1-r0.apk
2025-11-24 19:08
304K
xfce4-panel-profiles-lang-1.1.1-r1.apk
2025-11-24 14:20
53K
xfce4-panel-profiles-doc-1.1.1-r1.apk
2025-11-24 14:20
20K
xfce4-panel-profiles-1.1.1-r1.apk
2025-11-24 14:20
57K
tintin-2.02.51-r0.apk
2025-11-24 14:17
1.8M
subliminal-pyc-2.4.0-r0.apk
2025-11-24 01:21
179K
subliminal-2.4.0-r0.apk
2025-11-24 01:21
90K
py3-trakit-pyc-0.2.5-r0.apk
2025-11-24 01:21
16K
py3-trakit-0.2.5-r0.apk
2025-11-24 01:21
19K
py3-knowit-pyc-0.5.11-r0.apk
2025-11-24 01:21
61K
py3-knowit-0.5.11-r0.apk
2025-11-24 01:21
31K
py3-dbus-fast-pyc-3.1.2-r0.apk
2025-11-23 22:23
130K
py3-dbus-fast-doc-3.1.2-r0.apk
2025-11-23 22:23
6.0K
py3-dbus-fast-3.1.2-r0.apk
2025-11-23 22:23
781K
codec2-dev-1.2.0-r1.apk
2025-11-22 19:52
15K
codec2-1.2.0-r1.apk
2025-11-22 19:52
685K
elementary-feedback-lang-8.1.0-r0.apk
2025-11-22 18:58
48K
elementary-feedback-8.1.0-r0.apk
2025-11-22 18:58
46K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
2025-11-22 16:54
51K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
2025-11-22 16:54
88K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
2025-11-22 16:54
60K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
2025-11-22 16:54
46K
opentelemetry-cpp-dev-1.24.0-r0.apk
2025-11-22 16:54
521K
opentelemetry-cpp-1.24.0-r0.apk
2025-11-22 16:54
629K
ruby-minitest-server-1.0.9-r0.apk
2025-11-22 09:51
5.1K
resources-lang-1.9.1-r0.apk
2025-11-22 09:51
210K
resources-1.9.1-r0.apk
2025-11-22 09:51
2.5M
hyfetch-zsh-completion-2.0.5-r0.apk
2025-11-22 09:51
2.3K
hyfetch-doc-2.0.5-r0.apk
2025-11-22 09:51
19K
hyfetch-bash-completion-2.0.5-r0.apk
2025-11-22 09:51
3.1K
hyfetch-2.0.5-r0.apk
2025-11-22 09:51
868K
php82-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 19:04
7.8K
php81-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 19:04
7.8K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
2025-11-21 19:04
11K
perl-net-amqp-rabbitmq-2.40014-r1.apk
2025-11-21 19:04
83K
perl-net-patricia-doc-1.24-r0.apk
2025-11-20 16:48
6.0K
perl-net-patricia-1.24-r0.apk
2025-11-20 16:48
21K
volatility3-pyc-2.26.2-r0.apk
2025-11-20 14:28
1.2M
volatility3-2.26.2-r0.apk
2025-11-20 14:28
1.0M
lxqt-wayland-session-doc-0.3.0-r0.apk
2025-11-20 12:56
29K
lxqt-wayland-session-0.3.0-r0.apk
2025-11-20 12:56
339K
sssd-openrc-2.11.1-r2.apk
2025-11-20 10:32
1.4K
sssd-dev-2.11.1-r2.apk
2025-11-20 10:32
15K
sssd-2.11.1-r2.apk
2025-11-20 10:32
2.0M
py3-sssd-pyc-2.11.1-r2.apk
2025-11-20 10:32
48K
py3-sssd-2.11.1-r2.apk
2025-11-20 10:32
58K
qt5ct-dev-1.9-r0.apk
2025-11-20 07:04
1.3K
qt5ct-1.9-r0.apk
2025-11-20 07:04
221K
py3-telegram-bot-pyc-22.5-r0.apk
2025-11-20 07:04
802K
py3-telegram-bot-22.5-r0.apk
2025-11-20 07:04
497K
phpactor-2025.10.17.0-r0.apk
2025-11-20 07:04
3.6M
pdfcrack-0.21-r0.apk
2025-11-20 07:04
35K
lutgen-zsh-completion-1.0.1-r0.apk
2025-11-20 07:04
1.5K
lutgen-fish-completion-1.0.1-r0.apk
2025-11-20 07:04
1.5K
lutgen-doc-1.0.1-r0.apk
2025-11-20 07:04
4.2K
lutgen-bash-completion-1.0.1-r0.apk
2025-11-20 07:04
1.5K
lutgen-1.0.1-r0.apk
2025-11-20 07:04
1.8M
gtimelog-pyc-0.12_git20251114-r0.apk
2025-11-18 22:13
102K
gtimelog-0.12_git20251114-r0.apk
2025-11-18 22:13
219K
nzbget-openrc-25.4-r0.apk
2025-11-17 16:41
1.8K
nzbget-25.4-r0.apk
2025-11-17 16:41
4.8M
pam-pkcs11-systemd-0.6.13-r1.apk
2025-11-17 15:57
1.5K
pam-pkcs11-doc-0.6.13-r1.apk
2025-11-17 15:57
14K
pam-pkcs11-0.6.13-r1.apk
2025-11-17 15:57
251K
mediascanner2-systemd-0.118-r4.apk
2025-11-17 15:57
1.5K
mediascanner2-0.118-r4.apk
2025-11-17 15:57
278K
lomiri-indicator-location-systemd-25.4.22-r1.apk
2025-11-17 15:57
1.5K
lomiri-indicator-location-lang-25.4.22-r1.apk
2025-11-17 15:57
27K
lomiri-indicator-location-25.4.22-r1.apk
2025-11-17 15:57
22K
bootchart2-systemd-0.14.9-r1.apk
2025-11-17 15:57
2.0K
bootchart2-0.14.9-r1.apk
2025-11-17 15:57
136K
3proxy-systemd-0.9.5-r1.apk
2025-11-17 15:57
1.6K
3proxy-openrc-0.9.5-r1.apk
2025-11-17 15:57
1.4K
3proxy-doc-0.9.5-r1.apk
2025-11-17 15:57
26K
3proxy-0.9.5-r1.apk
2025-11-17 15:57
373K
libvalkey-tls-0.2.1-r0.apk
2025-11-17 13:12
6.1K
libvalkey-dev-0.2.1-r0.apk
2025-11-17 13:12
905K
libvalkey-0.2.1-r0.apk
2025-11-17 13:12
63K
runst-doc-0.2.0-r0.apk
2025-11-17 06:03
7.6K
runst-0.2.0-r0.apk
2025-11-17 06:03
2.0M
rio-terminfo-0.2.35-r0.apk
2025-11-17 06:03
3.2K
rio-doc-0.2.35-r0.apk
2025-11-17 06:03
2.0K
rio-0.2.35-r0.apk
2025-11-17 06:03
10M
php82-pecl-yaml-2.3.0-r0.apk
2025-11-17 06:03
18K
php81-pecl-yaml-2.3.0-r0.apk
2025-11-17 06:03
18K
dart-stage0-3.10.0_alpha244_p0-r0.apk
2025-11-17 06:03
136M
update-sysfs-2.1.1_p7-r0.apk
2025-11-12 11:45
2.9K
perl-badger-doc-0.16-r1.apk
2025-11-12 02:50
262K
perl-badger-0.16-r1.apk
2025-11-12 02:50
253K
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
2025-11-11 20:23
1.6K
py3-cython-test-exception-raiser-25.11.0-r0.apk
2025-11-11 20:23
18K
py3-ciso8601-2.3.3-r0.apk
2025-11-10 19:29
16K
pympress-pyc-1.8.6-r0.apk
2025-11-10 10:10
182K
pympress-lang-1.8.6-r0.apk
2025-11-10 10:10
59K
pympress-doc-1.8.6-r0.apk
2025-11-10 10:10
74K
pympress-1.8.6-r0.apk
2025-11-10 10:10
179K
py3-minio-pyc-7.2.18-r0.apk
2025-11-10 04:27
155K
py3-minio-7.2.18-r0.apk
2025-11-10 04:27
75K
py3-flask-security-pyc-5.6.2-r0.apk
2025-11-10 04:27
227K
py3-flask-security-5.6.2-r0.apk
2025-11-10 04:27
296K
py3-mistune1-pyc-0.8.4-r6.apk
2025-11-09 22:41
21K
py3-mistune1-0.8.4-r6.apk
2025-11-09 22:41
14K
eww-0.6.0-r0.apk
2025-11-09 22:41
3.1M
gcli-doc-2.9.1-r0.apk
2025-11-09 20:49
37K
gcli-2.9.1-r0.apk
2025-11-09 20:49
132K
php82-pecl-redis-6.3.0-r0.apk
2025-11-07 17:53
194K
php81-pecl-redis-6.3.0-r0.apk
2025-11-07 17:53
192K
wayfarer-1.4.0-r0.apk
2025-11-06 11:52
63K
mimalloc1-insecure-1.9.4-r0.apk
2025-11-06 11:52
69K
mimalloc1-dev-1.9.4-r0.apk
2025-11-06 11:52
972K
mimalloc1-debug-1.9.4-r0.apk
2025-11-06 11:52
207K
mimalloc1-1.9.4-r0.apk
2025-11-06 11:52
75K
lspmux-doc-0.3.0-r0.apk
2025-11-06 11:52
6.4K
lspmux-0.3.0-r0.apk
2025-11-06 11:52
1.0M
flare-engine-doc-1.14-r1.apk
2025-11-06 11:52
2.2K
flare-engine-1.14-r1.apk
2025-11-06 11:52
4.4M
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
2025-11-03 17:26
56K
postgresql-pg_variables-1.2.5_git20230922-r1.apk
2025-11-03 17:26
22K
py3-unidns-pyc-0.0.4-r0.apk
2025-11-03 13:19
25K
py3-unidns-examples-0.0.4-r0.apk
2025-11-03 13:19
2.3K
py3-unidns-0.0.4-r0.apk
2025-11-03 13:19
14K
py3-unicrypto-pyc-0.0.12-r0.apk
2025-11-03 13:19
92K
py3-unicrypto-0.0.12-r0.apk
2025-11-03 13:19
58K
py3-minikerberos-pyc-0.4.9-r0.apk
2025-11-03 13:19
287K
py3-minikerberos-examples-0.4.9-r0.apk
2025-11-03 13:19
17K
py3-minikerberos-0.4.9-r0.apk
2025-11-03 13:19
123K
py3-asysocks-pyc-0.2.18-r0.apk
2025-11-03 13:19
319K
py3-asysocks-examples-0.2.18-r0.apk
2025-11-03 13:19
35K
py3-asysocks-0.2.18-r0.apk
2025-11-03 13:19
88K
py3-asyauth-pyc-0.0.23-r0.apk
2025-11-03 13:19
185K
py3-asyauth-0.0.23-r0.apk
2025-11-03 13:19
84K
py3-aiowinreg-pyc-0.0.13-r0.apk
2025-11-03 13:19
44K
py3-aiowinreg-0.0.13-r0.apk
2025-11-03 13:19
21K
py3-aiosmb-pyc-0.4.14-r0.apk
2025-11-03 13:19
1.2M
py3-aiosmb-examples-0.4.14-r0.apk
2025-11-03 13:19
37K
py3-aiosmb-0.4.14-r0.apk
2025-11-03 13:19
585K
py3-aesedb-pyc-0.1.8-r0.apk
2025-11-03 13:19
75K
py3-aesedb-examples-0.1.8-r0.apk
2025-11-03 13:19
3.2K
py3-aesedb-0.1.8-r0.apk
2025-11-03 13:19
34K
wiki-tui-doc-0.9.1-r0.apk
2025-11-03 11:01
4.3K
wiki-tui-0.9.1-r0.apk
2025-11-03 11:01
2.2M
tinygltf-dev-2.9.7-r0.apk
2025-11-03 11:01
57K
tinygltf-2.9.7-r0.apk
2025-11-03 11:01
143K
py3-owslib-pyc-0.35.0-r0.apk
2025-11-03 11:01
425K
py3-owslib-0.35.0-r0.apk
2025-11-03 11:01
195K
ovos-core-pyc-2.1.0-r0.apk
2025-11-03 11:01
58K
ovos-core-2.1.0-r0.apk
2025-11-03 11:01
49K
libabigail-tools-2.8-r0.apk
2025-11-03 11:01
121K
libabigail-doc-2.8-r0.apk
2025-11-03 11:01
77K
libabigail-dev-2.8-r0.apk
2025-11-03 11:01
2.9M
libabigail-bash-completion-2.8-r0.apk
2025-11-03 11:01
2.6K
libabigail-2.8-r0.apk
2025-11-03 11:01
1.0M
gede-2.22.1-r0.apk
2025-11-03 11:01
386K
cocogitto-zsh-completion-6.5.0-r0.apk
2025-11-03 11:01
2.9K
cocogitto-fish-completion-6.5.0-r0.apk
2025-11-03 11:01
3.5K
cocogitto-doc-6.5.0-r0.apk
2025-11-03 11:01
39K
cocogitto-bash-completion-6.5.0-r0.apk
2025-11-03 11:01
2.9K
cocogitto-6.5.0-r0.apk
2025-11-03 11:01
1.9M
syncwhen-systemd-0.3-r0.apk
2025-11-02 23:22
1.5K
syncwhen-0.3-r0.apk
2025-11-02 23:22
5.0K
reprotest-pyc-0.7.32-r0.apk
2025-11-02 23:22
103K
reprotest-0.7.32-r0.apk
2025-11-02 23:22
80K
wbg-1.3.0-r1.apk
2025-11-01 15:51
41K
cpufetch-doc-1.07-r0.apk
2025-11-01 11:15
2.9K
cpufetch-1.07-r0.apk
2025-11-01 11:15
33K
snapraid-doc-13.0-r0.apk
2025-11-01 01:28
19K
snapraid-13.0-r0.apk
2025-11-01 01:28
289K
gmsh-py-4.15.0-r0.apk
2025-11-01 01:28
6.5K
gmsh-doc-4.15.0-r0.apk
2025-11-01 01:28
1.9M
gmsh-dbg-4.15.0-r0.apk
2025-11-01 01:28
150M
gmsh-4.15.0-r0.apk
2025-11-01 01:28
8.5M
xonsh-pyc-0.19.9-r0.apk
2025-10-31 14:44
1.0M
xonsh-0.19.9-r0.apk
2025-10-31 14:44
589K
doasedit-1.0.9-r0.apk
2025-10-31 14:44
3.2K
i3bar-river-systemd-1.1.0-r1.apk
2025-10-30 14:42
1.6K
i3bar-river-openrc-1.1.0-r1.apk
2025-10-30 14:42
1.5K
i3bar-river-1.1.0-r1.apk
2025-10-30 14:42
518K
gprbuild-25.0.0-r0.apk
2025-10-29 12:31
13M
cargo-update-doc-18.0.0-r0.apk
2025-10-28 09:17
8.2K
cargo-update-18.0.0-r0.apk
2025-10-28 09:17
1.1M
cloud-hypervisor-doc-48.0-r0.apk
2025-10-28 08:49
68K
cloud-hypervisor-48.0-r0.apk
2025-10-28 08:49
2.8M
tailspin-zsh-completion-5.5.0-r0.apk
2025-10-28 08:07
2.2K
tailspin-fish-completion-5.5.0-r0.apk
2025-10-28 08:07
1.9K
tailspin-doc-5.5.0-r0.apk
2025-10-28 08:07
2.7K
tailspin-bash-completion-5.5.0-r0.apk
2025-10-28 08:07
2.0K
tailspin-5.5.0-r0.apk
2025-10-28 08:07
1.2M
tealdeer-zsh-completion-1.8.0-r0.apk
2025-10-28 03:01
2.1K
tealdeer-fish-completion-1.8.0-r0.apk
2025-10-28 03:01
2.0K
tealdeer-bash-completion-1.8.0-r0.apk
2025-10-28 03:01
1.8K
tealdeer-1.8.0-r0.apk
2025-10-28 03:01
826K
rankwidth-static-0.9-r4.apk
2025-10-28 03:01
6.2K
rankwidth-libs-0.9-r4.apk
2025-10-28 03:01
4.6K
rankwidth-doc-0.9-r4.apk
2025-10-28 03:01
2.7K
rankwidth-dev-0.9-r4.apk
2025-10-28 03:01
2.7K
rankwidth-0.9-r4.apk
2025-10-28 03:01
5.0K
py3-igraph-pyc-1.0.0-r0.apk
2025-10-28 03:01
374K
py3-igraph-dev-1.0.0-r0.apk
2025-10-28 03:01
2.3K
py3-igraph-1.0.0-r0.apk
2025-10-28 03:01
411K
xtl-0.8.1-r0.apk
2025-10-27 14:57
89K
ruri-doc-3.9.3-r0.apk
2025-10-27 09:46
2.0K
ruri-3.9.3-r0.apk
2025-10-27 09:46
128K
py3-uc-micro-py-pyc-1.0.3-r0.apk
2025-10-27 09:46
4.3K
py3-uc-micro-py-1.0.3-r0.apk
2025-10-27 09:46
6.3K
py3-textual-pyc-5.3.0-r0.apk
2025-10-27 09:46
1.2M
py3-textual-5.3.0-r0.apk
2025-10-27 09:46
612K
dooit-pyc-3.3.3-r0.apk
2025-10-27 09:46
103K
dooit-3.3.3-r0.apk
2025-10-27 09:46
46K
wl-clip-persist-0.5.0-r0.apk
2025-10-27 07:08
950K
redlib-0.36.0-r0.apk
2025-10-27 07:08
2.8M
py3-flake8-isort-pyc-7.0.0-r0.apk
2025-10-27 07:08
5.1K
py3-flake8-isort-7.0.0-r0.apk
2025-10-27 07:08
18K
lomiri-settings-components-lang-1.1.3-r0.apk
2025-10-27 07:08
107K
lomiri-settings-components-1.1.3-r0.apk
2025-10-27 07:08
219K
lomiri-api-dev-0.2.3-r0.apk
2025-10-27 07:08
32K
lomiri-api-0.2.3-r0.apk
2025-10-27 07:08
32K
lomiri-action-api-dev-1.2.1-r0.apk
2025-10-27 07:08
4.7K
lomiri-action-api-1.2.1-r0.apk
2025-10-27 07:08
79K
yosys-dev-0.57-r0.apk
2025-10-27 00:29
277K
yosys-0.57-r0.apk
2025-10-27 00:29
23M
xed-python-3.8.4-r0.apk
2025-10-27 00:29
24K
xed-lang-3.8.4-r0.apk
2025-10-27 00:29
2.1M
xed-doc-3.8.4-r0.apk
2025-10-27 00:29
971K
xed-dev-3.8.4-r0.apk
2025-10-27 00:29
13K
xed-3.8.4-r0.apk
2025-10-27 00:29
1.1M
unit-php82-1.35.0-r0.apk
2025-10-27 00:29
31K
ubus-dev-2025.10.17-r0.apk
2025-10-27 00:29
5.3K
ubus-2025.10.17-r0.apk
2025-10-27 00:29
35K
sstp-client-doc-1.0.20-r3.apk
2025-10-27 00:29
4.6K
sstp-client-dev-1.0.20-r3.apk
2025-10-27 00:29
5.9K
sstp-client-1.0.20-r3.apk
2025-10-27 00:29
40K
serialdv-libs-1.1.5-r0.apk
2025-10-27 00:29
62K
serialdv-dev-1.1.5-r0.apk
2025-10-27 00:29
5.1K
serialdv-1.1.5-r0.apk
2025-10-27 00:29
6.1K
schismtracker-doc-20251014-r0.apk
2025-10-27 00:29
6.1K
schismtracker-20251014-r0.apk
2025-10-27 00:29
461K
ruby-mail-2.9.0-r0.apk
2025-10-27 00:29
394K
py3-yosys-0.57-r0.apk
2025-10-27 00:29
556K
pikchr-cmd-doc-1.0.0-r0.apk
2025-10-27 00:29
2.0K
pikchr-cmd-1.0.0-r0.apk
2025-10-27 00:29
43K
php82-pecl-zstd-0.15.2-r0.apk
2025-10-27 00:29
17K
php82-pecl-xlswriter-1.5.8-r0.apk
2025-10-27 00:29
228K
php82-pecl-xhprof-assets-2.3.10-r0.apk
2025-10-27 00:29
800K
php82-pecl-xhprof-2.3.10-r0.apk
2025-10-27 00:29
13K
php82-pecl-vips-1.0.13-r0.apk
2025-10-27 00:29
16K
php82-pecl-uuid-1.3.0-r0.apk
2025-10-27 00:29
6.3K
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
2025-10-27 00:29
9.3K
php82-pecl-uploadprogress-2.0.2-r2.apk
2025-10-27 00:29
6.1K
php82-pecl-timezonedb-2025.2-r0.apk
2025-10-27 00:29
191K
php82-pecl-ssh2-1.4.1-r0.apk
2025-10-27 00:29
27K
php82-pecl-smbclient-1.2.0_pre-r0.apk
2025-10-27 00:29
21K
php82-pecl-rdkafka-6.0.5-r0.apk
2025-10-27 00:29
38K
php82-pecl-psr-1.2.0-r1.apk
2025-10-27 00:29
18K
php82-pecl-pcov-1.0.12-r0.apk
2025-10-27 00:29
9.3K
php82-pecl-opentelemetry-1.2.1-r0.apk
2025-10-27 00:29
13K
php82-pecl-msgpack-3.0.0-r0.apk
2025-10-27 00:29
27K
php82-pecl-mongodb-2.1.4-r0.apk
2025-10-27 00:29
837K
php82-pecl-memcached-3.4.0-r0.apk
2025-10-27 00:29
46K
php82-pecl-memcache-8.2-r2.apk
2025-10-27 00:29
44K
php82-pecl-mcrypt-1.0.9-r0.apk
2025-10-27 00:29
15K
php82-pecl-mailparse-3.1.9-r0.apk
2025-10-27 00:29
23K
php82-pecl-lzf-1.7.0-r0.apk
2025-10-27 00:29
7.0K
php82-pecl-luasandbox-4.1.2-r0.apk
2025-10-27 00:29
30K
php82-pecl-grpc-1.76.0-r0.apk
2025-10-27 00:29
4.3M
php82-pecl-event-3.1.4-r0.apk
2025-10-27 00:29
50K
php82-pecl-ds-1.6.0-r0.apk
2025-10-27 00:29
62K
php82-pecl-decimal-1.5.0-r1.apk
2025-10-27 00:29
19K
php82-pecl-ast-1.1.3-r0.apk
2025-10-27 00:29
21K
php81-pecl-grpc-1.76.0-r0.apk
2025-10-27 00:29
4.3M
pfetch-doc-1.9.4-r0.apk
2025-10-27 00:29
5.5K
pfetch-1.9.4-r0.apk
2025-10-27 00:29
23K
lua5.2-ubus-2025.10.17-r0.apk
2025-10-27 00:29
9.2K
lua5.1-ubus-2025.10.17-r0.apk
2025-10-27 00:29
9.2K
game-devices-udev-0.25-r0.apk
2025-10-27 00:29
6.6K
boa-cli-0.21-r0.apk
2025-10-27 00:29
7.7M
ruby-hashdiff-doc-1.2.1-r0.apk
2025-10-21 06:18
2.0K
ruby-hashdiff-1.2.1-r0.apk
2025-10-21 06:18
8.7K
ruby-crack-doc-1.0.1-r0.apk
2025-10-21 06:15
2.0K
ruby-crack-1.0.1-r0.apk
2025-10-21 06:15
2.1K
pwvucontrol-lang-0.5.0-r0.apk
2025-10-21 03:09
11K
pwvucontrol-dbg-0.5.0-r0.apk
2025-10-21 03:09
1.9M
pwvucontrol-0.5.0-r0.apk
2025-10-21 03:09
450K
openocd-git-udev-0_git20251018-r1.apk
2025-10-21 03:09
3.3K
openocd-git-doc-0_git20251018-r1.apk
2025-10-21 03:09
3.0K
openocd-git-dev-0_git20251018-r1.apk
2025-10-21 03:09
3.1K
openocd-git-dbg-0_git20251018-r1.apk
2025-10-21 03:09
4.5M
openocd-git-cmd-openocd-0_git20251018-r1.apk
2025-10-21 03:09
1.3K
openocd-git-0_git20251018-r1.apk
2025-10-21 03:09
1.7M
openocd-esp32-udev-0_git20250707-r2.apk
2025-10-21 03:09
3.2K
openocd-esp32-doc-0_git20250707-r2.apk
2025-10-21 03:09
3.0K
openocd-esp32-dev-0_git20250707-r2.apk
2025-10-21 03:09
3.4K
openocd-esp32-0_git20250707-r2.apk
2025-10-21 03:09
2.0M
py3-prctl-pyc-1.8.1-r0.apk
2025-10-20 15:52
7.0K
py3-prctl-1.8.1-r0.apk
2025-10-20 15:52
12K
pure-doc-1.23.0-r0.apk
2025-10-20 15:52
7.7K
pure-1.23.0-r0.apk
2025-10-20 15:52
18K
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
2025-10-20 15:52
28K
himitsu-secret-service-doc-0.1_git20250705-r1.apk
2025-10-20 15:52
3.7K
himitsu-secret-service-0.1_git20250705-r1.apk
2025-10-20 15:52
16K
fast-double-parser-0.8.1-r0.apk
2025-10-20 13:11
25K
mediastreamer2-doc-5.3.112-r1.apk
2025-10-19 17:26
107K
mediastreamer2-dev-5.3.112-r1.apk
2025-10-19 17:26
109K
mediastreamer2-5.3.112-r1.apk
2025-10-19 17:26
369K
perl-freezethaw-doc-0.5001-r3.apk
2025-10-18 12:33
5.4K
perl-freezethaw-0.5001-r3.apk
2025-10-18 12:33
9.6K
py3-msldap-pyc-0.5.15-r2.apk
2025-10-18 10:15
330K
py3-msldap-examples-0.5.15-r2.apk
2025-10-18 10:15
18K
py3-msldap-0.5.15-r2.apk
2025-10-18 10:15
126K
py3-vdf-pyc-3.4-r2.apk
2025-10-17 00:08
16K
py3-vdf-3.4-r2.apk
2025-10-17 00:08
11K
py3-libacl-0.7.3-r0.apk
2025-10-17 00:08
26K
gsimplecal-doc-2.5.2-r0.apk
2025-10-16 20:49
5.5K
gsimplecal-2.5.2-r0.apk
2025-10-16 20:49
16K
magpie-lang-0.9.4-r0.apk
2025-10-16 20:43
849K
magpie-dev-0.9.4-r0.apk
2025-10-16 20:43
246K
magpie-0.9.4-r0.apk
2025-10-16 20:43
1.8M
budgie-session-lang-0.9.1-r0.apk
2025-10-16 20:43
306K
budgie-session-doc-0.9.1-r0.apk
2025-10-16 20:43
5.0K
budgie-session-0.9.1-r0.apk
2025-10-16 20:43
109K
budgie-screensaver-lang-5.1.0-r0.apk
2025-10-16 20:43
240K
budgie-screensaver-doc-5.1.0-r0.apk
2025-10-16 20:43
3.1K
budgie-screensaver-5.1.0-r0.apk
2025-10-16 20:43
73K
budgie-desktop-lang-10.9.2-r0.apk
2025-10-16 20:43
586K
budgie-desktop-doc-10.9.2-r0.apk
2025-10-16 20:43
5.4K
budgie-desktop-dev-10.9.2-r0.apk
2025-10-16 20:43
18K
budgie-desktop-10.9.2-r0.apk
2025-10-16 20:43
1.3M
budgie-control-center-lang-1.4.0-r0.apk
2025-10-16 20:43
3.9M
budgie-control-center-bash-completion-1.4.0-r0.apk
2025-10-16 20:43
2.0K
budgie-control-center-1.4.0-r0.apk
2025-10-16 20:43
3.0M
edit-doc-1.2.1-r0.apk
2025-10-16 15:21
2.0K
edit-1.2.1-r0.apk
2025-10-16 15:21
254K
toybox-0.8.13-r0.apk
2025-10-16 12:31
260K
py3-piper-tts-2023.11.14.2-r14.apk
2025-10-16 00:29
41K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
2025-10-16 00:29
3.1K
py3-piper-phonemize-2023.11.14.4-r9.apk
2025-10-16 00:29
144K
py3-hishel-pyc-0.1.4-r0.apk
2025-10-16 00:29
143K
py3-hishel-0.1.4-r0.apk
2025-10-16 00:29
77K
piper-tts-dev-2023.11.14.2-r14.apk
2025-10-16 00:29
141K
piper-tts-2023.11.14.2-r14.apk
2025-10-16 00:29
127K
piper-phonemize-libs-2023.11.14.4-r9.apk
2025-10-16 00:29
69K
piper-phonemize-dev-2023.11.14.4-r9.apk
2025-10-16 00:29
394K
piper-phonemize-2023.11.14.4-r9.apk
2025-10-16 00:29
9.0M
libtins-doc-4.5-r2.apk
2025-10-16 00:29
2.1K
libtins-dev-4.5-r2.apk
2025-10-16 00:29
138K
libtins-4.5-r2.apk
2025-10-16 00:29
315K
deblob-doc-0.12-r0.apk
2025-10-16 00:29
3.5K
deblob-0.12-r0.apk
2025-10-16 00:29
130K
crow-translate-lang-4.0.2-r0.apk
2025-10-16 00:29
554K
crow-translate-4.0.2-r0.apk
2025-10-16 00:29
11M
bcg729-dev-1.1.1-r1.apk
2025-10-16 00:29
3.4K
bcg729-1.1.1-r1.apk
2025-10-16 00:29
35K
zpaq-doc-7.15-r0.apk
2025-10-15 14:16
16K
zpaq-7.15-r0.apk
2025-10-15 14:16
172K
yaml-language-server-doc-1.19.2-r0.apk
2025-10-15 14:16
2.0K
yaml-language-server-1.19.2-r0.apk
2025-10-15 14:16
666K
virtualgl-doc-3.1.4-r0.apk
2025-10-15 14:16
314K
virtualgl-dev-3.1.4-r0.apk
2025-10-15 14:16
5.9K
virtualgl-3.1.4-r0.apk
2025-10-15 14:16
1.8M
vimv-doc-3.1.0-r0.apk
2025-10-15 14:16
1.7K
vimv-3.1.0-r0.apk
2025-10-15 14:16
261K
vera++-1.3.0-r11.apk
2025-10-15 14:16
190K
tree-sitter-gleam-1.1.0-r0.apk
2025-10-15 14:16
66K
termusic-mpv-0.12.0-r0.apk
2025-10-15 14:16
9.7M
ssh-studio-pyc-1.3.1-r0.apk
2025-10-15 14:16
106K
ssh-studio-lang-1.3.1-r0.apk
2025-10-15 14:16
1.6K
ssh-studio-1.3.1-r0.apk
2025-10-15 14:16
202K
squeak-vm-doc-4.10.2.2614-r2.apk
2025-10-15 14:16
12K
squeak-vm-4.10.2.2614-r2.apk
2025-10-15 14:16
606K
smplxmpp-doc-0.9.3-r5.apk
2025-10-15 14:16
25K
smplxmpp-0.9.3-r5.apk
2025-10-15 14:16
147K
roll-doc-2.6.1-r1.apk
2025-10-15 14:16
11K
roll-bash-completion-2.6.1-r1.apk
2025-10-15 14:16
1.6K
roll-2.6.1-r1.apk
2025-10-15 14:16
12K
responder-3.1.7.0-r0.apk
2025-10-15 14:16
747K
py3-unearth-pyc-0.18.0-r0.apk
2025-10-15 14:16
82K
py3-unearth-0.18.0-r0.apk
2025-10-15 14:16
40K
py3-spotipy-pyc-2.24.0-r3.apk
2025-10-15 14:16
49K
py3-spotipy-2.24.0-r3.apk
2025-10-15 14:16
29K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
2025-10-15 14:16
59K
py3-sphinx-autoapi-3.6.1-r0.apk
2025-10-15 14:16
31K
py3-pytest-regtest-pyc-2.3.5-r0.apk
2025-10-15 14:16
30K
py3-pytest-regtest-2.3.5-r0.apk
2025-10-15 14:16
16K
py3-pdoc-pyc-15.0.4-r0.apk
2025-10-15 14:16
169K
py3-pdoc-15.0.4-r0.apk
2025-10-15 14:16
133K
py3-okonomiyaki-pyc-2.0.0-r1.apk
2025-10-15 14:16
243K
py3-okonomiyaki-2.0.0-r1.apk
2025-10-15 14:16
7.9M
py3-nikola-pyc-8.3.3-r0.apk
2025-10-15 14:16
545K
py3-nikola-doc-8.3.3-r0.apk
2025-10-15 14:16
61K
py3-nikola-8.3.3-r0.apk
2025-10-15 14:16
4.3M
py3-manuel-pyc-1.13.0-r1.apk
2025-10-15 14:16
25K
py3-manuel-1.13.0-r1.apk
2025-10-15 14:16
39K
portsmf-dev-239-r2.apk
2025-10-15 14:16
20K
portsmf-239-r2.apk
2025-10-15 14:16
57K
pict-rs-openrc-0.5.19-r1.apk
2025-10-15 14:16
1.6K
pict-rs-0.5.19-r1.apk
2025-10-15 14:16
6.4M
php85-pecl-oauth-2.0.10-r0.apk
2025-10-15 14:16
34K
php84-pecl-oauth-2.0.10-r0.apk
2025-10-15 14:16
35K
php83-pecl-oauth-2.0.10-r0.apk
2025-10-15 14:16
35K
php82-pecl-oauth-2.0.10-r0.apk
2025-10-15 14:16
35K
php81-pecl-oauth-2.0.10-r0.apk
2025-10-15 14:16
35K
php81-pecl-mongodb-2.1.4-r0.apk
2025-10-15 14:16
837K
php81-pecl-memcached-3.4.0-r0.apk
2025-10-15 14:16
46K
perl-clipboard-doc-0.32-r1.apk
2025-10-15 14:16
27K
perl-clipboard-0.32-r1.apk
2025-10-15 14:16
10K
oppa-1.1.0-r0.apk
2025-10-15 14:16
529K
networkmanager-dmenu-doc-2.6.1-r1.apk
2025-10-15 14:16
6.5K
networkmanager-dmenu-2.6.1-r1.apk
2025-10-15 14:16
14K
nautilus-python-doc-4.0.1-r0.apk
2025-10-15 14:16
4.0K
nautilus-python-dev-4.0.1-r0.apk
2025-10-15 14:16
1.4K
nautilus-python-4.0.1-r0.apk
2025-10-15 14:16
9.4K
kismet-nxp-kw41z-0.202509.1-r0.apk
2025-10-15 14:16
47K
kismet-nrf-51822-0.202509.1-r0.apk
2025-10-15 14:16
46K
kismet-logtools-0.202509.1-r0.apk
2025-10-15 14:16
1.1M
kismet-linux-wifi-0.202509.1-r0.apk
2025-10-15 14:16
67K
kismet-linux-bluetooth-0.202509.1-r0.apk
2025-10-15 14:16
48K
kismet-0.202509.1-r0.apk
2025-10-15 14:16
12M
interception-tools-openrc-0.6.8-r3.apk
2025-10-15 14:16
1.4K
interception-tools-0.6.8-r3.apk
2025-10-15 14:16
101K
homebank-lang-5.9.5-r0.apk
2025-10-15 14:16
942K
homebank-5.9.5-r0.apk
2025-10-15 14:16
2.0M
gtypist-lang-2.10.1-r0.apk
2025-10-15 14:16
26K
gtypist-doc-2.10.1-r0.apk
2025-10-15 14:16
104K
gtypist-2.10.1-r0.apk
2025-10-15 14:16
636K
gr-satellites-doc-5.5.0-r6.apk
2025-10-15 14:16
4.3K
gr-satellites-dev-5.5.0-r6.apk
2025-10-15 14:16
12K
gr-satellites-5.5.0-r6.apk
2025-10-15 14:16
481K
cdogs-sdl-2.3.2-r0.apk
2025-10-15 14:15
28M
cartero-lang-0.2.2-r0.apk
2025-10-15 14:15
45K
cartero-0.2.2-r0.apk
2025-10-15 14:15
1.2M
cargo-geiger-doc-0.13.0-r0.apk
2025-10-15 14:15
7.4K
cargo-geiger-0.13.0-r0.apk
2025-10-15 14:15
5.3M
cadence-0.9.2-r1.apk
2025-10-15 14:15
1.5M
bat-extras-prettybat-2024.08.24-r0.apk
2025-10-15 14:15
5.3K
bat-extras-doc-2024.08.24-r0.apk
2025-10-15 14:15
15K
bat-extras-batwatch-2024.08.24-r0.apk
2025-10-15 14:15
5.6K
bat-extras-batpipe-2024.08.24-r0.apk
2025-10-15 14:15
6.8K
bat-extras-batman-2024.08.24-r0.apk
2025-10-15 14:15
4.5K
bat-extras-batgrep-2024.08.24-r0.apk
2025-10-15 14:15
7.1K
bat-extras-batdiff-2024.08.24-r0.apk
2025-10-15 14:15
5.1K
bat-extras-2024.08.24-r0.apk
2025-10-15 14:15
5.1K
apt-swarm-zsh-completion-0.5.1-r1.apk
2025-10-15 14:15
5.6K
apt-swarm-openrc-0.5.1-r1.apk
2025-10-15 14:15
1.7K
apt-swarm-fish-completion-0.5.1-r1.apk
2025-10-15 14:15
5.5K
apt-swarm-bash-completion-0.5.1-r1.apk
2025-10-15 14:15
3.9K
apt-swarm-0.5.1-r1.apk
2025-10-15 14:15
2.9M
aggregate6-pyc-1.0.14-r0.apk
2025-10-15 14:15
5.5K
aggregate6-doc-1.0.14-r0.apk
2025-10-15 14:15
2.7K
aggregate6-1.0.14-r0.apk
2025-10-15 14:15
7.0K
eiwd-openrc-3.10-r0.apk
2025-10-07 13:29
1.6K
eiwd-doc-3.10-r0.apk
2025-10-07 13:29
20K
eiwd-3.10-r0.apk
2025-10-07 13:29
846K
gmcapsule-pyc-0.9.8-r0.apk
2025-10-07 11:40
61K
gmcapsule-openrc-0.9.8-r0.apk
2025-10-07 11:40
1.7K
gmcapsule-0.9.8-r0.apk
2025-10-07 11:40
36K
libirecovery-progs-1.3.0-r0.apk
2025-10-06 19:35
7.9K
libirecovery-dev-1.3.0-r0.apk
2025-10-06 19:35
3.9K
libirecovery-1.3.0-r0.apk
2025-10-06 19:35
26K
idevicerestore-doc-1.0.0_git20250914-r0.apk
2025-10-06 19:35
3.2K
idevicerestore-1.0.0_git20250914-r0.apk
2025-10-06 19:35
99K
xvile-9.8z_p1-r2.apk
2025-10-06 16:28
804K
vile-doc-9.8z_p1-r2.apk
2025-10-06 16:28
357K
vile-common-9.8z_p1-r2.apk
2025-10-06 16:28
354K
vile-9.8z_p1-r2.apk
2025-10-06 16:28
778K
pspp-doc-2.0.1-r1.apk
2025-10-06 16:28
8.7K
pspp-dbg-2.0.1-r1.apk
2025-10-06 16:28
4.6M
pspp-2.0.1-r1.apk
2025-10-06 16:28
19M
libemf2svg-utils-1.1.0-r3.apk
2025-10-06 16:28
16K
libemf2svg-1.1.0-r3.apk
2025-10-06 16:28
158K
certbot-dns-hetzner-pyc-2.0.1-r1.apk
2025-10-06 16:28
6.2K
certbot-dns-hetzner-2.0.1-r1.apk
2025-10-06 16:28
9.9K
biboumi-openrc-9.0-r9.apk
2025-10-06 16:28
1.6K
biboumi-doc-9.0-r9.apk
2025-10-06 16:28
1.2K
biboumi-9.0-r9.apk
2025-10-06 16:28
270K
py3-irc-pyc-20.4.1-r1.apk
2025-10-06 08:09
70K
py3-irc-20.4.1-r1.apk
2025-10-06 08:09
40K
tick-doc-1.2.3-r0.apk
2025-10-06 08:06
5.3K
tick-1.2.3-r0.apk
2025-10-06 08:06
10K
heisenbridge-pyc-1.15.4-r0.apk
2025-10-06 07:08
155K
heisenbridge-1.15.4-r0.apk
2025-10-06 07:08
67K
mimedefang-doc-3.6-r1.apk
2025-10-06 03:41
81K
mimedefang-3.6-r1.apk
2025-10-06 03:41
157K
vector-openrc-0.50.0-r0.apk
2025-10-06 02:12
1.9K
vector-doc-0.50.0-r0.apk
2025-10-06 02:12
5.5K
vector-0.50.0-r0.apk
2025-10-06 02:12
25M
php81-pecl-opentelemetry-1.2.1-r0.apk
2025-10-05 01:16
13K
geoclue-stumbler-1.1-r0.apk
2025-10-04 19:09
41K
cargo-generate-0.23.5-r0.apk
2025-10-04 17:42
2.4M
xmoto-lang-0.6.3-r0.apk
2025-10-04 07:28
532K
xmoto-doc-0.6.3-r0.apk
2025-10-04 07:28
5.6K
xmoto-data-0.6.3-r0.apk
2025-10-04 07:28
37M
xmoto-0.6.3-r0.apk
2025-10-04 07:28
1.8M
libcotp-dev-3.1.1-r0.apk
2025-10-04 07:28
2.3K
libcotp-3.1.1-r0.apk
2025-10-04 07:28
7.6K
bacon-3.18.0-r0.apk
2025-09-30 19:59
1.8M
php81-pecl-mailparse-3.1.9-r0.apk
2025-09-30 14:40
23K
way-displays-doc-1.15.0-r0.apk
2025-09-30 12:35
4.3K
way-displays-1.15.0-r0.apk
2025-09-30 12:35
107K
py3-latex2mathml-pyc-3.78.1-r1.apk
2025-09-29 21:46
35K
py3-latex2mathml-3.78.1-r1.apk
2025-09-29 21:46
72K
php82-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 16:44
64K
php81-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 16:44
64K
oils-for-unix-doc-0.35.0-r0.apk
2025-09-29 00:35
6.8K
oils-for-unix-binsh-0.35.0-r0.apk
2025-09-29 00:35
1.3K
oils-for-unix-bash-0.35.0-r0.apk
2025-09-29 00:35
1.4K
oils-for-unix-0.35.0-r0.apk
2025-09-29 00:35
678K
pdf2svg-0.2.4-r0.apk
2025-09-28 20:50
4.1K
ruby-build-runtime-20250925-r0.apk
2025-09-28 17:59
1.1K
ruby-build-doc-20250925-r0.apk
2025-09-28 17:59
4.5K
ruby-build-20250925-r0.apk
2025-09-28 17:59
93K
font-andika-six-6.210-r0.apk
2025-09-28 15:52
1.5M
musikcube-plugin-taglibreader-3.0.5-r0.apk
2025-09-28 10:08
34K
musikcube-plugin-supereqdsp-3.0.5-r0.apk
2025-09-28 10:08
25K
musikcube-plugin-stockencoders-3.0.5-r0.apk
2025-09-28 10:08
19K
musikcube-plugin-server-3.0.5-r0.apk
2025-09-28 10:08
370K
musikcube-plugin-openmpt-3.0.5-r0.apk
2025-09-28 10:08
28K
musikcube-plugin-mpris-3.0.5-r0.apk
2025-09-28 10:08
20K
musikcube-plugin-httpdatastream-3.0.5-r0.apk
2025-09-28 10:08
73K
musikcube-plugin-all-3.0.5-r0.apk
2025-09-28 10:08
1.1K
musikcube-dev-3.0.5-r0.apk
2025-09-28 10:08
19K
musikcube-3.0.5-r0.apk
2025-09-28 10:08
2.2M
motion-openrc-4.7.1-r0.apk
2025-09-28 10:08
2.0K
motion-lang-4.7.1-r0.apk
2025-09-28 10:08
471K
motion-doc-4.7.1-r0.apk
2025-09-28 10:08
139K
motion-4.7.1-r0.apk
2025-09-28 10:08
142K
libetebase-dev-0.5.8-r0.apk
2025-09-28 10:08
11K
libetebase-0.5.8-r0.apk
2025-09-28 10:08
861K
fileshelter-openrc-6.2.0-r4.apk
2025-09-28 10:08
1.4K
fileshelter-6.2.0-r4.apk
2025-09-28 10:08
310K
xpar-doc-0.7-r0.apk
2025-09-28 06:37
4.1K
xpar-0.7-r0.apk
2025-09-28 06:37
27K
reaction-tools-2.2.1-r0.apk
2025-09-28 06:37
4.7K
reaction-openrc-2.2.1-r0.apk
2025-09-28 06:37
1.6K
reaction-2.2.1-r0.apk
2025-09-28 06:37
1.5M
py3-rns-pyc-1.0.0-r0.apk
2025-09-28 06:37
704K
py3-rns-1.0.0-r0.apk
2025-09-28 06:37
344K
py3-lxmf-pyc-0.8.0-r0.apk
2025-09-28 06:37
109K
py3-lxmf-0.8.0-r0.apk
2025-09-28 06:37
51K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
2025-09-28 06:37
9.1K
py3-confusable-homoglyphs-3.3.1-r0.apk
2025-09-28 06:37
137K
php85-pecl-vld-0.19.1-r1.apk
2025-09-28 06:37
16K
nomadnet-pyc-0.8.0-r0.apk
2025-09-28 06:37
284K
nomadnet-0.8.0-r0.apk
2025-09-28 06:37
143K
mdbook-alerts-0.8.0-r0.apk
2025-09-28 06:37
744K
ffms2-doc-5.0-r2.apk
2025-09-28 06:37
30K
ffms2-dev-5.0-r2.apk
2025-09-28 06:37
7.3K
ffms2-5.0-r2.apk
2025-09-28 06:37
70K
fceux-doc-2.6.6-r4.apk
2025-09-28 06:37
104K
fceux-2.6.6-r4.apk
2025-09-28 06:37
2.9M
droidcam-gui-2.1.3-r3.apk
2025-09-28 06:37
28K
droidcam-2.1.3-r3.apk
2025-09-28 06:37
19K
crispy-doom-doc-7.1-r0.apk
2025-09-28 06:37
107K
crispy-doom-7.1-r0.apk
2025-09-28 06:37
1.9M
agate-openrc-3.3.19-r0.apk
2025-09-28 06:37
1.7K
agate-3.3.19-r0.apk
2025-09-28 06:37
730K
waynergy-0.0.17-r1.apk
2025-09-26 06:02
50K
visidata-zsh-completion-3.3-r0.apk
2025-09-26 06:02
9.2K
visidata-pyc-3.3-r0.apk
2025-09-26 06:02
846K
visidata-doc-3.3-r0.apk
2025-09-26 06:02
18K
visidata-3.3-r0.apk
2025-09-26 06:02
424K
unit-php81-1.35.0-r1.apk
2025-09-26 06:02
31K
tuptime-openrc-5.2.4-r2.apk
2025-09-26 06:02
1.5K
tuptime-doc-5.2.4-r2.apk
2025-09-26 06:02
3.5K
tuptime-5.2.4-r2.apk
2025-09-26 06:02
14K
tcpbench-doc-3.00-r1.apk
2025-09-26 06:02
5.0K
tcpbench-3.00-r1.apk
2025-09-26 06:02
23K
stam-0.12.2-r0.apk
2025-09-26 06:02
1.7M
snapper-zsh-completion-0.13.0-r0.apk
2025-09-26 06:02
3.3K
snapper-lang-0.13.0-r0.apk
2025-09-26 06:02
219K
snapper-doc-0.13.0-r0.apk
2025-09-26 06:02
25K
snapper-dev-0.13.0-r0.apk
2025-09-26 06:02
10K
snapper-bash-completion-0.13.0-r0.apk
2025-09-26 06:02
3.1K
snapper-0.13.0-r0.apk
2025-09-26 06:02
1.0M
sacc-doc-1.07-r1.apk
2025-09-26 06:02
2.6K
sacc-1.07-r1.apk
2025-09-26 06:02
15K
rust-script-0.36.0-r0.apk
2025-09-26 06:02
926K
reap-doc-0.2-r0.apk
2025-09-26 06:02
2.4K
reap-0.2-r0.apk
2025-09-26 06:02
4.6K
quodlibet-zsh-completion-4.7.1-r0.apk
2025-09-26 06:02
2.5K
quodlibet-pyc-4.7.1-r0.apk
2025-09-26 06:02
1.8M
quodlibet-lang-4.7.1-r0.apk
2025-09-26 06:02
1.4M
quodlibet-doc-4.7.1-r0.apk
2025-09-26 06:02
8.4K
quodlibet-bash-completion-4.7.1-r0.apk
2025-09-26 06:02
4.2K
quodlibet-4.7.1-r0.apk
2025-09-26 06:02
1.0M
qtile-pyc-0.33.0-r0.apk
2025-09-26 06:02
858K
qtile-0.33.0-r0.apk
2025-09-26 06:02
469K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-26 06:02
16K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-26 06:02
3.9K
py3-wikipedia-1.4.0-r0.apk
2025-09-26 06:02
12K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-26 06:02
22K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-26 06:02
2.0K
py3-simple-websocket-1.1.0-r0.apk
2025-09-26 06:02
11K
py3-meshtastic-2.7.2-r0.apk
2025-09-26 06:02
540K
ptouch-print-doc-1.7-r0.apk
2025-09-26 06:02
2.9K
ptouch-print-1.7-r0.apk
2025-09-26 06:02
26K
pounce-openrc-3.1-r4.apk
2025-09-26 06:02
2.5K
pounce-doc-3.1-r4.apk
2025-09-26 06:02
8.3K
pounce-3.1-r4.apk
2025-09-26 06:02
29K
php81-pecl-zstd-0.15.2-r0.apk
2025-09-26 06:02
17K
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-26 06:02
2.9K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-26 06:02
18K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-26 06:02
45K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-26 06:02
389K
perl-snmp-info-3.974000-r0.apk
2025-09-26 06:02
337K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-26 06:02
3.2K
perl-module-extract-version-1.119-r0.apk
2025-09-26 06:02
3.0K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-26 06:02
12K
perl-cpan-audit-20250829.001-r0.apk
2025-09-26 06:02
13K
ostui-doc-1.0.4-r0.apk
2025-09-26 06:02
28K
ostui-1.0.4-r0.apk
2025-09-26 06:02
4.7M
nm-tray-lang-0.5.1-r0.apk
2025-09-26 06:02
27K
nm-tray-0.5.1-r0.apk
2025-09-26 06:02
98K
mat2-pyc-0.13.5-r0.apk
2025-09-26 06:02
54K
mat2-doc-0.13.5-r0.apk
2025-09-26 06:02
7.4K
mat2-0.13.5-r0.apk
2025-09-26 06:02
35K
malcontent-doc-0.13.1-r0.apk
2025-09-26 06:02
44K
malcontent-dev-0.13.1-r0.apk
2025-09-26 06:02
24K
malcontent-0.13.1-r0.apk
2025-09-26 06:02
157K
lutris-pyc-0.5.19-r1.apk
2025-09-26 06:02
1.1M
lutris-lang-0.5.19-r1.apk
2025-09-26 06:02
810K
lutris-doc-0.5.19-r1.apk
2025-09-26 06:02
2.1K
lutris-0.5.19-r1.apk
2025-09-26 06:02
819K
lshell-pyc-0.9.18-r12.apk
2025-09-26 06:02
35K
lshell-doc-0.9.18-r12.apk
2025-09-26 06:02
25K
lshell-0.9.18-r12.apk
2025-09-26 06:02
36K
litterbox-doc-1.9-r2.apk
2025-09-26 06:02
6.9K
litterbox-1.9-r2.apk
2025-09-26 06:02
33K
libqtdbustest-0.4.0-r0.apk
2025-09-26 06:02
30K
hiprompt-gtk-py-0.8.0-r1.apk
2025-09-26 06:01
7.8K
himitsu-totp-doc-0.9-r0.apk
2025-09-26 06:01
2.1K
himitsu-totp-0.9-r0.apk
2025-09-26 06:01
147K
hare-scfg-0.25.2-r0.apk
2025-09-26 06:01
4.4K
gtranslator-lang-49.0-r0.apk
2025-09-26 06:01
593K
gtranslator-doc-49.0-r0.apk
2025-09-26 06:01
637K
gtranslator-49.0-r0.apk
2025-09-26 06:01
140K
go-away-openrc-0.7.0-r1.apk
2025-09-26 06:01
2.0K
go-away-0.7.0-r1.apk
2025-09-26 06:01
7.8M
git-quick-stats-doc-2.8.0-r0.apk
2025-09-26 06:01
3.2K
git-quick-stats-2.8.0-r0.apk
2025-09-26 06:01
15K
gearmand-openrc-1.1.22-r0.apk
2025-09-26 06:01
1.5K
gearmand-doc-1.1.22-r0.apk
2025-09-26 06:01
189K
gearmand-1.1.22-r0.apk
2025-09-26 06:01
167K
gearman-libs-1.1.22-r0.apk
2025-09-26 06:01
79K
gearman-dev-1.1.22-r0.apk
2025-09-26 06:01
2.1M
font-hanazono-20170904-r2.apk
2025-09-26 06:01
29M
femto-doc-2.24.1-r0.apk
2025-09-26 06:01
47K
femto-2.24.1-r0.apk
2025-09-26 06:01
70K
exabgp-pyc-4.2.24-r1.apk
2025-09-26 06:01
778K
exabgp-openrc-4.2.24-r1.apk
2025-09-26 06:01
2.0K
exabgp-doc-4.2.24-r1.apk
2025-09-26 06:01
7.8K
exabgp-4.2.24-r1.apk
2025-09-26 06:01
385K
evolution-on-3.24.4-r1.apk
2025-09-26 06:01
9.9K
ckb-next-dev-0.6.2-r1.apk
2025-09-26 06:01
4.7K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-26 06:01
1.6K
ckb-next-daemon-0.6.2-r1.apk
2025-09-26 06:01
77K
ckb-next-0.6.2-r1.apk
2025-09-26 06:01
1.3M
apulse-doc-0.1.14-r0.apk
2025-09-26 06:01
2.7K
apulse-0.1.14-r0.apk
2025-09-26 06:01
41K
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-26 06:01
1.7K
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-26 06:01
4.7M
aide-doc-0.19.2-r0.apk
2025-09-26 06:01
15K
aide-0.19.2-r0.apk
2025-09-26 06:01
83K
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 03:09
3.7K
amdgpu_top-0.11.0-r0.apk
2025-09-03 03:09
6.9M
linux-eswin-doc-6.16.4-r0.apk
2025-09-02 20:28
14M
linux-eswin-dev-6.16.4-r0.apk
2025-09-02 20:28
15M
linux-eswin-6.16.4-r0.apk
2025-09-02 20:28
58M
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 04:30
59K
elementary-calculator-8.0.1-r0.apk
2025-09-02 04:30
70K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 04:29
83K
elementary-videos-8.0.2-r0.apk
2025-09-02 04:29
113K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 04:26
35K
elementary-camera-8.0.2-r0.apk
2025-09-02 04:26
85K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 20:59
24K
py3-queuelib-1.8.0-r0.apk
2025-09-01 20:59
12K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 20:59
109K
py3-dnslib-0.9.26-r0.apk
2025-09-01 20:59
56K
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 20:59
3.8K
authenticator-rs-0.8.6-r0.apk
2025-09-01 20:59
2.2M
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 15:19
4.9K
perl-io-interactive-1.027-r0.apk
2025-09-01 15:19
5.1K
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 15:19
5.3K
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 15:19
1.3M
toml2json-doc-1.3.2-r0.apk
2025-09-01 15:17
3.4K
toml2json-1.3.2-r0.apk
2025-09-01 15:17
352K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 21:52
1.9K
libsirocco-2.1.1-r0.apk
2025-08-31 21:52
64K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 22:48
42K
xfsdump-3.2.0-r0.apk
2025-08-30 22:48
379K
linux-jh7100-doc-6.16.4-r0.apk
2025-08-30 20:30
14M
linux-jh7100-dev-6.16.4-r0.apk
2025-08-30 20:30
14M
linux-jh7100-6.16.4-r0.apk
2025-08-30 20:30
15M
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 06:27
42K
perl-cgi-simple-1.282-r0.apk
2025-08-30 06:27
55K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 03:42
12K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 03:42
13K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 13:40
135K
please-doc-0.5.6-r0.apk
2025-08-29 13:40
16K
please-0.5.6-r0.apk
2025-08-29 13:40
1.0M
dum-0.1.20-r1.apk
2025-08-29 13:40
352K
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 23:20
1.4K
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 23:20
73K
glslviewer-3.2.4-r2.apk
2025-08-28 21:38
1.7M
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 11:32
8.3K
py3-prefixed-0.9.0-r0.apk
2025-08-28 11:32
14K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 11:32
46K
py3-enlighten-1.14.1-r0.apk
2025-08-28 11:32
37K
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 09:40
36K
nwg-displays-0.3.26-r0.apk
2025-08-28 09:40
26K
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 07:15
3.6K
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 07:15
8.4K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-28 06:28
3.4K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-28 06:28
3.0K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-28 06:28
9.2K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-28 06:28
2.2K
wl-screenrec-0.1.7-r1.apk
2025-08-28 06:28
555K
cmusfm-0.5.0-r1.apk
2025-08-27 06:14
16K
hare-gtk4-layer-shell-0.1.0-r0.apk
2025-08-25 20:30
3.5K
hare-gi-0.1.0-r0.apk
2025-08-25 20:30
1.6M
hare-adwaita-0.1.0-r0.apk
2025-08-25 20:30
89K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 13:16
7.3K
py3-himitsu-0.0.9-r0.apk
2025-08-25 13:16
5.5K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 11:06
25K
py3-croniter-6.0.0-r0.apk
2025-08-25 11:06
26K
xtensor-0.27.0-r0.apk
2025-08-25 08:56
269K
wiremix-doc-0.7.0-r0.apk
2025-08-22 20:13
9.1K
wiremix-0.7.0-r0.apk
2025-08-22 20:13
813K
ttynvt-0.17-r0.apk
2025-08-22 20:13
14K
maxima-emacs-5.48.1-r9.apk
2025-08-22 20:13
110K
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 20:13
9.6M
maxima-doc-5.48.1-r9.apk
2025-08-22 20:13
845K
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 20:13
2.1K
maxima-5.48.1-r9.apk
2025-08-22 20:13
25M
komikku-pyc-1.85.0-r0.apk
2025-08-22 10:26
797K
komikku-lang-1.85.0-r0.apk
2025-08-22 10:26
284K
komikku-1.85.0-r0.apk
2025-08-22 10:26
444K
swappy-lang-1.7.1-r0.apk
2025-08-22 02:35
3.5K
swappy-doc-1.7.1-r0.apk
2025-08-22 02:35
3.6K
swappy-1.7.1-r0.apk
2025-08-22 02:35
28K
py3-cstruct-pyc-6.1-r0.apk
2025-08-22 02:35
37K
py3-cstruct-6.1-r0.apk
2025-08-22 02:35
23K
darkreader-4.9.110-r0.apk
2025-08-21 11:14
767K
vim-rust-305-r1.apk
2025-08-21 10:38
20K
wayqt-dev-0.3.0-r1.apk
2025-08-21 10:37
18K
wayqt-0.3.0-r1.apk
2025-08-21 10:37
139K
paperde-dev-0.3.0-r2.apk
2025-08-21 10:37
4.7K
paperde-0.3.0-r2.apk
2025-08-21 10:37
618K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 10:37
4.7K
dfl-sni-0.3.0-r0.apk
2025-08-21 10:37
60K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 10:37
3.4K
dfl-login1-0.3.0-r0.apk
2025-08-21 10:37
35K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 10:37
4.5K
dfl-ipc-0.3.0-r0.apk
2025-08-21 10:37
47K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 10:37
3.7K
dfl-applications-0.3.0-r0.apk
2025-08-21 10:37
66K
himitsu-git-0.9.0-r0.apk
2025-08-20 19:10
113K
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-20 03:26
3.4K
nrf5-sdk-17.1.0-r0.apk
2025-08-20 03:26
47M
lv_font_conv-doc-1.5.3-r0.apk
2025-08-20 03:25
4.7K
lv_font_conv-1.5.3-r0.apk
2025-08-20 03:25
1.1M
lomiri-sounds-25.01-r0.apk
2025-08-20 03:25
18M
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-20 03:25
179K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-20 03:25
338K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-20 03:25
41K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-20 03:25
381K
meep-dev-1.31.0-r1.apk
2025-08-20 01:17
792K
meep-1.31.0-r1.apk
2025-08-20 01:17
641K
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-20 01:17
106K
lomiri-gallery-app-3.0.2-r2.apk
2025-08-20 01:17
3.7M
getmail6-pyc-6.19.10-r0.apk
2025-08-20 01:17
103K
getmail6-doc-6.19.10-r0.apk
2025-08-20 01:17
138K
getmail6-6.19.10-r0.apk
2025-08-20 01:17
70K
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 03:33
232K
chocolate-doom-3.1.1-r0.apk
2025-08-19 03:33
1.7M
rocm-core-doc-6.4.3-r0.apk
2025-08-18 13:32
2.2K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 13:32
6.3K
rocm-core-6.4.3-r0.apk
2025-08-18 13:32
7.2K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 13:32
2.2K
rocm-cmake-6.4.3-r0.apk
2025-08-18 13:32
28K
netsed-1.4-r0.apk
2025-08-18 12:15
9.3K
cargo-machete-doc-0.9.1-r0.apk
2025-08-17 20:57
4.1K
cargo-machete-0.9.1-r0.apk
2025-08-17 20:57
1.3M
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 17:18
174K
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 17:18
18K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 17:18
13K
netdiscover-doc-0.21-r0.apk
2025-08-15 15:24
3.9K
netdiscover-0.21-r0.apk
2025-08-15 15:24
620K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 08:27
54K
py3-pyzor-1.1.2-r0.apk
2025-08-14 08:27
40K
py3-yara-4.5.4-r0.apk
2025-08-13 21:49
18K
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 21:49
372K
py3-netmiko-4.6.0-r0.apk
2025-08-13 21:49
192K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-08-13 21:49
2.0M
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-08-13 21:49
110K
wlroots0.17-dev-0.17.4-r3.apk
2025-08-13 03:46
77K
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-13 03:46
1.5M
wlroots0.17-0.17.4-r3.apk
2025-08-13 03:46
375K
rt6-6.0.1-r0.apk
2025-08-12 14:35
12M
cliquer-tests-1.23-r0.apk
2025-08-12 06:16
24K
cliquer-static-1.23-r0.apk
2025-08-12 06:16
50K
cliquer-libs-1.23-r0.apk
2025-08-12 06:16
23K
cliquer-dev-1.23-r0.apk
2025-08-12 06:16
7.2K
cliquer-1.23-r0.apk
2025-08-12 06:16
6.8K
font-openmoji-16.0.0-r0.apk
2025-08-11 08:31
1.4M
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-11 03:55
7.7K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-11 03:55
3.4K
py3-maidenhead-1.8.0-r0.apk
2025-08-11 03:55
7.7K
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 22:53
21K
rss-email-doc-0.5.1-r0.apk
2025-08-10 22:15
6.1K
rss-email-0.5.1-r0.apk
2025-08-10 22:15
2.2M
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 22:15
253K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 22:15
39K
linkchecker-10.6.0-r0.apk
2025-08-10 22:15
181K
redhat-fonts-4.1.0-r1.apk
2025-08-10 18:56
809K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-10 18:56
5.4K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-10 18:56
9.5K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-10 18:56
11K
py3-rofi-1.0.1-r1.apk
2025-08-10 18:56
12K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-10 18:56
10K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-10 18:56
8.9K
py3-logtop-pyc-0.7-r1.apk
2025-08-10 18:56
3.8K
py3-logtop-0.7-r1.apk
2025-08-10 18:56
22K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-10 18:56
16K
py3-itemloaders-1.3.2-r1.apk
2025-08-10 18:56
12K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-10 18:56
29K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-10 18:56
45K
mirrorhall-0.1.1-r2.apk
2025-08-10 18:56
26K
logtop-libs-0.7-r1.apk
2025-08-10 18:56
14K
logtop-doc-0.7-r1.apk
2025-08-10 18:56
2.6K
logtop-0.7-r1.apk
2025-08-10 18:56
13K
libdbusaccess-dev-1.0.20-r1.apk
2025-08-10 18:56
4.7K
libdbusaccess-1.0.20-r1.apk
2025-08-10 18:56
17K
hare-http-0.25.2.0-r1.apk
2025-08-10 18:56
20K
gupnp-doc-1.6.9-r1.apk
2025-08-10 18:56
3.5K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-10 18:56
24K
gupnp-dlna-0.12.0-r1.apk
2025-08-10 18:56
65K
gupnp-dev-1.6.9-r1.apk
2025-08-10 18:56
50K
gupnp-av-dev-0.14.4-r1.apk
2025-08-10 18:56
41K
gupnp-av-0.14.4-r1.apk
2025-08-10 18:56
78K
gupnp-1.6.9-r1.apk
2025-08-10 18:56
88K
gssdp-dev-1.6.4-r1.apk
2025-08-10 18:56
15K
gssdp-1.6.4-r1.apk
2025-08-10 18:56
45K
gnome-mimeapps-0.1-r1.apk
2025-08-10 18:56
3.4K
bzmenu-0.2.1-r3.apk
2025-08-10 18:55
1.1M
xendmail-doc-0.4.4-r0.apk
2025-08-06 14:14
2.3K
xendmail-0.4.4-r0.apk
2025-08-06 14:14
922K
castor-0.9.0-r2.apk
2025-08-05 19:12
767K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 15:16
5.1K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 15:16
6.8K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 15:16
15K
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 15:16
15K
beancount-language-server-1.4.1-r0.apk
2025-08-03 13:22
1.4M
rpg-cli-1.2.0-r0.apk
2025-08-01 14:02
608K
rofi-pass-doc-2.0.2-r2.apk
2025-08-01 14:02
4.9K
rofi-pass-2.0.2-r2.apk
2025-08-01 14:02
8.7K
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 15:52
26K
sfwbar-1.0_beta161-r0.apk
2025-07-31 15:52
274K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 23:29
30K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 23:29
18K
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 22:27
52K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 22:27
3.8K
megatools-1.11.5.20250706-r0.apk
2025-07-30 22:27
62K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 08:05
11K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 08:05
20K
pneink-theme-doc-1.3-r0.apk
2025-07-30 07:58
1.4K
pneink-theme-1.3-r0.apk
2025-07-30 07:58
9.6K
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 15:19
76K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 15:19
107K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 15:19
4.5K
soundconverter-4.1.1-r0.apk
2025-07-29 15:19
164K
lynis-doc-3.1.4-r0.apk
2025-07-29 11:09
50K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 11:09
2.7K
lynis-3.1.4-r0.apk
2025-07-29 11:09
275K
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 10:17
7.7K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 10:17
8.8K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 10:17
8.7K
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 10:17
4.9K
cargo-shuttle-0.56.6-r0.apk
2025-07-29 10:17
5.0M
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 23:33
13K
py3-discid-1.3.0-r0.apk
2025-07-28 23:33
12K
libcob4-3.2-r0.apk
2025-07-28 16:05
214K
gnucobol-lang-3.2-r0.apk
2025-07-28 16:05
316K
gnucobol-doc-3.2-r0.apk
2025-07-28 16:05
71K
gnucobol-3.2-r0.apk
2025-07-28 16:05
1.0M
ruby-facter-4.10.0-r0.apk
2025-07-28 04:05
218K
fatrace-doc-0.18.0-r0.apk
2025-07-27 21:39
3.1K
fatrace-0.18.0-r0.apk
2025-07-27 21:39
9.7K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-26 01:43
26K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-26 01:43
20K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-26 00:25
44K
py3-dunamai-1.25.0-r0.apk
2025-07-26 00:25
27K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 17:02
10K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 17:02
14K
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 20:04
641K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 15:11
4.8K
perl-template-tiny-1.16-r0.apk
2025-07-24 15:11
5.3K
rpi-imager-doc-1.9.0-r1.apk
2025-07-24 10:10
2.8K
rpi-imager-1.9.0-r1.apk
2025-07-24 10:10
695K
linux-p550-doc-6.6.77-r0.apk
2025-07-23 17:01
12M
linux-p550-dev-6.6.77-r0.apk
2025-07-23 17:01
14M
linux-p550-6.6.77-r0.apk
2025-07-23 17:01
59M
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 14:00
23K
screenkey-pyc-1.5-r7.apk
2025-07-23 04:57
73K
screenkey-doc-1.5-r7.apk
2025-07-23 04:57
11K
screenkey-1.5-r7.apk
2025-07-23 04:57
76K
otpclient-doc-4.1.1-r0.apk
2025-07-23 04:57
3.4K
otpclient-4.1.1-r0.apk
2025-07-23 04:57
112K
macchina-doc-6.4.0-r0.apk
2025-07-23 04:57
5.4K
macchina-6.4.0-r0.apk
2025-07-23 04:57
1.0M
libgrapheme-doc-2.0.2-r0.apk
2025-07-23 04:57
20K
libgrapheme-dev-2.0.2-r0.apk
2025-07-23 04:57
38K
libgrapheme-2.0.2-r0.apk
2025-07-23 04:57
25K
git-extras-doc-7.4.0-r0.apk
2025-07-23 04:57
65K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-23 04:57
2.6K
git-extras-7.4.0-r0.apk
2025-07-23 04:57
57K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-23 01:04
35K
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 23:00
3.4K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 23:00
3.2K
laze-doc-0.1.38-r0.apk
2025-07-20 23:00
3.3K
laze-bash-completion-0.1.38-r0.apk
2025-07-20 23:00
2.9K
laze-0.1.38-r0.apk
2025-07-20 23:00
1.1M
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 17:27
29K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 15:35
16K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 15:35
16K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 15:35
16K
jaq-doc-2.3.0-r0.apk
2025-07-20 13:04
2.0K
jaq-2.3.0-r0.apk
2025-07-20 13:04
784K
dislocker-libs-0.7.3-r6.apk
2025-07-20 03:38
46K
dislocker-doc-0.7.3-r6.apk
2025-07-20 03:38
5.8K
dislocker-0.7.3-r6.apk
2025-07-20 03:38
11K
solanum-lang-6.0.0-r0.apk
2025-07-20 02:34
47K
solanum-6.0.0-r0.apk
2025-07-20 02:34
251K
i3status-rust-doc-0.34.0-r0.apk
2025-07-20 02:34
33K
i3status-rust-0.34.0-r0.apk
2025-07-20 02:34
4.9M
svgbob-0.7.6-r0.apk
2025-07-19 17:21
461K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-19 17:21
241K
zycore-doc-1.5.0-r1.apk
2025-07-16 14:26
432K
zycore-dev-1.5.0-r1.apk
2025-07-16 14:26
37K
zycore-1.5.0-r1.apk
2025-07-16 14:26
20K
wsmancli-doc-2.8.0-r0.apk
2025-07-16 14:26
3.4K
wsmancli-2.8.0-r0.apk
2025-07-16 14:26
17K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 14:26
1.6K
tremc-doc-0.9.4-r0.apk
2025-07-16 14:26
2.6K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 14:26
1.6K
tremc-0.9.4-r0.apk
2025-07-16 14:26
52K
splitter-doc-0.4.1-r1.apk
2025-07-16 14:26
3.6K
splitter-0.4.1-r1.apk
2025-07-16 14:26
538K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 14:26
361K
pyinfra-3.3.1-r0.apk
2025-07-16 14:26
192K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-16 14:26
17K
py3-tls_parser-2.0.2-r0.apk
2025-07-16 14:26
10K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-16 14:26
90K
py3-tidalapi-0.8.4-r0.apk
2025-07-16 14:26
50K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-16 14:26
19K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-16 14:26
13K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-16 14:26
17K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-16 14:26
11K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-16 14:26
33K
py3-pathvalidate-3.3.1-r0.apk
2025-07-16 14:26
19K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-16 14:26
165K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-16 14:26
92K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-16 14:26
130K
py3-ovos-utils-0.8.1-r0.apk
2025-07-16 14:26
72K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-16 14:26
167K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-16 14:26
86K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-16 14:26
9.8K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-16 14:26
11K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-16 14:26
4.8K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-16 14:26
8.5K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-16 14:26
3.6K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-16 14:26
7.9K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-16 14:26
8.6K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-16 14:26
11K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-16 14:26
4.5K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-16 14:26
8.6K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-16 14:26
35K
py3-ovos-config-2.1.1-r0.apk
2025-07-16 14:26
47K
py3-ly-pyc-0.9.9-r0.apk
2025-07-16 14:26
352K
py3-ly-doc-0.9.9-r0.apk
2025-07-16 14:26
7.8K
py3-ly-0.9.9-r0.apk
2025-07-16 14:26
186K
py3-imageio-pyc-2.37.0-r0.apk
2025-07-16 14:26
504K
py3-imageio-2.37.0-r0.apk
2025-07-16 14:26
285K
py3-furl-pyc-2.1.4-r0.apk
2025-07-16 14:26
32K
py3-furl-2.1.4-r0.apk
2025-07-16 14:26
27K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-16 14:26
18K
py3-flask-migrate-4.1.0-r0.apk
2025-07-16 14:26
13K
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-16 14:26
3.4K
py3-flask-accept-0.0.7-r0.apk
2025-07-16 14:26
5.3K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-16 14:26
27K
py3-evohome-client-0.3.9-r0.apk
2025-07-16 14:26
19K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-16 14:26
19K
py3-enzyme-0.5.2-r0.apk
2025-07-16 14:26
22K
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-16 14:26
98K
py3-drf-yasg-1.21.10-r0.apk
2025-07-16 14:26
4.0M
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-16 14:26
66K
py3-bookkeeper-4.17.2-r0.apk
2025-07-16 14:26
43K
perl-web-scraper-doc-0.38-r0.apk
2025-07-16 14:26
7.8K
perl-web-scraper-0.38-r0.apk
2025-07-16 14:26
7.3K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-16 14:26
6.2K
perl-test-perl-critic-1.04-r0.apk
2025-07-16 14:26
6.6K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-16 14:26
6.6K
perl-test-kwalitee-1.28-r0.apk
2025-07-16 14:26
6.1K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-16 14:26
16K
perl-sql-abstract-more-1.44-r0.apk
2025-07-16 14:26
27K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-16 14:26
3.0K
perl-regexp-trie-0.02-r0.apk
2025-07-16 14:26
2.7K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-16 14:26
49K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-16 14:26
41K
perl-parse-distname-doc-0.05-r0.apk
2025-07-16 14:26
4.1K
perl-parse-distname-0.05-r0.apk
2025-07-16 14:26
5.2K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-16 14:26
28K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-16 14:26
26K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-16 14:26
4.0K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-16 14:26
7.5K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-16 14:26
4.1K
perl-file-treecreate-0.0.1-r0.apk
2025-07-16 14:26
3.8K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-16 14:26
13K
perl-file-find-object-0.3.9-r0.apk
2025-07-16 14:26
8.9K
perl-data-binary-doc-0.01-r0.apk
2025-07-16 14:26
2.8K
perl-data-binary-0.01-r0.apk
2025-07-16 14:26
2.5K
perl-array-diff-doc-0.09-r0.apk
2025-07-16 14:26
3.6K
perl-array-diff-0.09-r0.apk
2025-07-16 14:26
3.1K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-16 14:26
3.4K
perl-archive-any-lite-0.11-r0.apk
2025-07-16 14:26
3.9K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-16 14:26
7.0K
ovos-phal-0.2.10-r0.apk
2025-07-16 14:26
10K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-16 14:26
38K
ovos-gui-1.3.3-r0.apk
2025-07-16 14:26
38K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-16 14:26
36K
ovos-audio-1.0.1-r0.apk
2025-07-16 14:26
136K
minigalaxy-pyc-1.4.0-r0.apk
2025-07-16 14:25
134K
minigalaxy-1.4.0-r0.apk
2025-07-16 14:25
197K
mdp-doc-1.0.18-r0.apk
2025-07-16 14:25
3.6K
mdp-1.0.18-r0.apk
2025-07-16 14:25
16K
isomd5sum-doc-1.2.5-r0.apk
2025-07-16 14:25
2.7K
isomd5sum-1.2.5-r0.apk
2025-07-16 14:25
18K
font-terminus-ttf-4.49.3-r0.apk
2025-07-16 14:25
538K
bartib-1.0.1-r1.apk
2025-07-16 14:25
380K
metadata-cleaner-lang-2.5.6-r0.apk
2025-07-08 22:57
66K
metadata-cleaner-doc-2.5.6-r0.apk
2025-07-08 22:57
1.9M
metadata-cleaner-2.5.6-r0.apk
2025-07-08 22:57
49K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 18:56
11K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 18:56
21K
perl-date-range-doc-1.41-r0.apk
2025-07-08 18:56
3.8K
perl-date-range-1.41-r0.apk
2025-07-08 18:56
3.5K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 18:54
50K
perl-software-license-0.104007-r0.apk
2025-07-08 18:54
106K
catfish-pyc-4.20.1-r0.apk
2025-07-07 22:40
104K
catfish-lang-4.20.1-r0.apk
2025-07-07 22:40
166K
catfish-doc-4.20.1-r0.apk
2025-07-07 22:40
13K
catfish-4.20.1-r0.apk
2025-07-07 22:40
128K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 19:12
8.3K
perl-smart-comments-1.06-r0.apk
2025-07-07 19:12
12K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 19:12
5.4K
perl-data-section-0.200008-r0.apk
2025-07-07 19:12
6.2K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 19:08
20K
py3-schema-0.7.7-r0.apk
2025-07-07 19:08
19K
varnish-modules-doc-0.26.0-r0.apk
2025-07-07 07:43
21K
varnish-modules-0.26.0-r0.apk
2025-07-07 07:43
40K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-07 07:43
25K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-07 07:43
20K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-07 07:43
4.5K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-07 07:43
2.0K
ruby-ansi-1.5.0-r0.apk
2025-07-07 07:43
26K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-07 07:43
335K
py3-dateparser-1.2.2-r0.apk
2025-07-07 07:43
216K
perl-devel-trace-doc-0.12-r0.apk
2025-07-07 07:43
3.2K
perl-devel-trace-0.12-r0.apk
2025-07-07 07:43
3.1K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 12:26
130K
py3-chameleon-4.6.0-r0.apk
2025-07-06 12:26
97K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 02:39
8.3K
deviced-openrc-0_git20250427-r0.apk
2025-07-06 01:30
1.4K
deviced-dev-0_git20250427-r0.apk
2025-07-06 01:30
26K
deviced-0_git20250427-r0.apk
2025-07-06 01:30
120K
curtail-lang-1.13.0-r0.apk
2025-07-06 01:30
77K
curtail-1.13.0-r0.apk
2025-07-06 01:30
30K
cups-pdf-3.0.2-r0.apk
2025-07-04 23:12
21K
dmenu-wl-doc-0.1-r0.apk
2025-07-02 19:21
3.8K
dmenu-wl-0.1-r0.apk
2025-07-02 19:21
17K
notification-daemon-3.20.0-r1.apk
2025-07-02 08:05
58K
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 23:11
745K
netscanner-doc-0.5.1-r1.apk
2025-07-01 23:11
3.0K
netscanner-0.5.1-r1.apk
2025-07-01 23:11
3.6M
video-trimmer-lang-25.03-r0.apk
2025-07-01 15:33
92K
video-trimmer-25.03-r0.apk
2025-07-01 15:33
390K
silc-client-doc-1.1.11-r18.apk
2025-07-01 15:33
82K
silc-client-1.1.11-r18.apk
2025-07-01 15:33
875K
rizin-libs-0.8.1-r0.apk
2025-07-01 15:33
5.4M
rizin-doc-0.8.1-r0.apk
2025-07-01 15:33
19K
rizin-dev-0.8.1-r0.apk
2025-07-01 15:33
322K
rizin-0.8.1-r0.apk
2025-07-01 15:33
2.7M
pptpclient-doc-1.10.0-r6.apk
2025-07-01 15:33
7.0K
pptpclient-1.10.0-r6.apk
2025-07-01 15:33
33K
perl-xml-bare-doc-0.53-r14.apk
2025-07-01 15:33
11K
perl-xml-bare-0.53-r14.apk
2025-07-01 15:33
27K
perl-term-size-doc-0.211-r5.apk
2025-07-01 15:33
3.5K
perl-term-size-0.211-r5.apk
2025-07-01 15:33
5.3K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-07-01 15:33
6.3K
perl-syntax-operator-equ-0.10-r1.apk
2025-07-01 15:33
7.6K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-07-01 15:33
7.6K
perl-syntax-keyword-match-0.15-r1.apk
2025-07-01 15:33
13K
perl-snmp-doc-5.0404-r14.apk
2025-07-01 15:33
14K
perl-snmp-5.0404-r14.apk
2025-07-01 15:33
67K
perl-perlio-locale-doc-0.10-r13.apk
2025-07-01 15:33
2.9K
perl-perlio-locale-0.10-r13.apk
2025-07-01 15:33
4.1K
perl-pango-doc-1.227-r12.apk
2025-07-01 15:33
82K
perl-pango-1.227-r12.apk
2025-07-01 15:33
78K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-07-01 15:33
5.1K
perl-net-async-redis-xs-1.001-r2.apk
2025-07-01 15:33
8.4K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-07-01 15:33
4.1K
perl-musicbrainz-discid-0.06-r2.apk
2025-07-01 15:33
9.1K
perl-math-libm-doc-1.00-r15.apk
2025-07-01 15:33
2.9K
perl-math-libm-1.00-r15.apk
2025-07-01 15:33
9.8K
perl-math-int64-doc-0.57-r2.apk
2025-07-01 15:33
10K
perl-math-int64-0.57-r2.apk
2025-07-01 15:33
28K
perl-linux-pid-doc-0.04-r15.apk
2025-07-01 15:33
2.8K
perl-linux-pid-0.04-r15.apk
2025-07-01 15:33
4.3K
perl-libapreq2-doc-2.17-r3.apk
2025-07-01 15:33
37K
perl-libapreq2-dev-2.17-r3.apk
2025-07-01 15:33
83K
perl-libapreq2-2.17-r3.apk
2025-07-01 15:33
97K
perl-imager-doc-1.028-r1.apk
2025-07-01 15:33
286K
perl-imager-1.028-r1.apk
2025-07-01 15:33
516K
perl-html-gumbo-doc-0.18-r2.apk
2025-07-01 15:33
5.2K
perl-html-gumbo-0.18-r2.apk
2025-07-01 15:33
14K
perl-flowd-doc-0.9.1-r11.apk
2025-07-01 15:33
3.0K
perl-flowd-0.9.1-r11.apk
2025-07-01 15:33
22K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-07-01 15:33
4.0K
perl-file-mmagic-xs-0.09008-r5.apk
2025-07-01 15:33
29K
perl-encode-detect-doc-1.01-r1.apk
2025-07-01 15:33
4.6K
perl-encode-detect-1.01-r1.apk
2025-07-01 15:33
72K
perl-devel-nytprof-doc-6.14-r1.apk
2025-07-01 15:33
50K
perl-devel-nytprof-6.14-r1.apk
2025-07-01 15:33
387K
perl-devel-leak-doc-0.03-r14.apk
2025-07-01 15:33
3.1K
perl-devel-leak-0.03-r14.apk
2025-07-01 15:33
6.5K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-07-01 15:33
17K
perl-data-dump-streamer-2.42-r1.apk
2025-07-01 15:33
50K
perl-data-clone-doc-0.006-r1.apk
2025-07-01 15:33
4.3K
perl-data-clone-0.006-r1.apk
2025-07-01 15:33
9.1K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-07-01 15:33
3.8K
perl-crypt-blowfish-2.14-r1.apk
2025-07-01 15:33
12K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-07-01 15:33
5.2K
perl-conf-libconfig-1.0.3-r2.apk
2025-07-01 15:33
24K
perl-barcode-zbar-doc-0.10-r4.apk
2025-07-01 15:33
12K
perl-barcode-zbar-0.10-r4.apk
2025-07-01 15:33
30K
perl-b-utils-doc-0.27-r1.apk
2025-07-01 15:33
9.3K
perl-b-utils-0.27-r1.apk
2025-07-01 15:33
19K
perl-autobox-doc-3.0.2-r1.apk
2025-07-01 15:33
8.6K
perl-autobox-3.0.2-r1.apk
2025-07-01 15:33
18K
openwsman-libs-2.8.1-r1.apk
2025-07-01 15:33
322K
openwsman-doc-2.8.1-r1.apk
2025-07-01 15:33
2.1K
openwsman-dev-2.8.1-r1.apk
2025-07-01 15:33
55K
openwsman-2.8.1-r1.apk
2025-07-01 15:33
49K
kdiskmark-lang-3.2.0-r0.apk
2025-07-01 15:33
32K
kdiskmark-3.2.0-r0.apk
2025-07-01 15:33
167K
hw-probe-1.6.6-r2.apk
2025-07-01 15:33
124K
flowd-openrc-0.9.1-r11.apk
2025-07-01 15:33
1.6K
flowd-doc-0.9.1-r11.apk
2025-07-01 15:33
9.8K
flowd-dev-0.9.1-r11.apk
2025-07-01 15:33
7.8K
flowd-0.9.1-r11.apk
2025-07-01 15:33
84K
dnssec-tools-doc-2.2.3-r13.apk
2025-07-01 15:33
317K
dnssec-tools-dev-2.2.3-r13.apk
2025-07-01 15:33
320K
dnssec-tools-2.2.3-r13.apk
2025-07-01 15:33
748K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-07-01 15:33
304K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-07-01 15:33
38K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-07-01 15:33
62K
apache2-mod-perl-2.0.13-r2.apk
2025-07-01 15:33
650K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 13:32
2.3K
xcompmgr-1.1.10-r0.apk
2025-06-28 13:32
14K
mm-common-doc-1.0.7-r0.apk
2025-06-27 18:40
33K
mm-common-1.0.7-r0.apk
2025-06-27 18:40
414K
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 04:59
32K
py3-senf-1.5.0-r0.apk
2025-06-27 04:59
20K
srain-lang-1.8.1-r0.apk
2025-06-27 00:53
35K
srain-1.8.1-r0.apk
2025-06-27 00:53
164K
hare-irc-0.25.2.0-r0.apk
2025-06-25 09:25
10K
android-file-transfer-libs-4.5-r0.apk
2025-06-25 01:05
133K
android-file-transfer-dev-4.5-r0.apk
2025-06-25 01:05
1.3K
android-file-transfer-cli-4.5-r0.apk
2025-06-25 01:05
112K
android-file-transfer-4.5-r0.apk
2025-06-25 01:05
193K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 21:38
16K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 21:38
15K
openrdap-doc-0.9.1-r0.apk
2025-06-24 17:51
2.0K
openrdap-0.9.1-r0.apk
2025-06-24 17:51
3.2M
pdal-python-plugins-1.6.5-r0.apk
2025-06-22 00:06
231K
vlang-0.4.11-r0.apk
2025-06-21 19:05
32M
pihole-openrc-6.2.3-r0.apk
2025-06-20 20:11
1.6K
pihole-doc-6.2.3-r0.apk
2025-06-20 20:11
3.5K
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 20:11
1.9K
pihole-6.2.3-r0.apk
2025-06-20 20:11
5.6M
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 17:20
3.1K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 17:20
2.9K
flatseal-lang-2.3.1-r0.apk
2025-06-19 17:31
79K
flatseal-doc-2.3.1-r0.apk
2025-06-19 17:31
8.2K
flatseal-2.3.1-r0.apk
2025-06-19 17:31
43K
tup-vim-0.7.11-r1.apk
2025-06-19 16:40
2.3K
tup-doc-0.7.11-r1.apk
2025-06-19 16:40
21K
tup-0.7.11-r1.apk
2025-06-19 16:40
233K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 16:40
9.2K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 16:40
7.9K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 16:40
3.0K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 16:40
2.5K
moosefs-static-4.56.6-r2.apk
2025-06-19 16:40
1.8M
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 16:40
1.4K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 16:40
42K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 16:40
1.4K
moosefs-master-4.56.6-r2.apk
2025-06-19 16:40
381K
moosefs-doc-4.56.6-r2.apk
2025-06-19 16:40
95K
moosefs-client-4.56.6-r2.apk
2025-06-19 16:40
777K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 16:40
1.4K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 16:40
201K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 16:40
1.7K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 16:40
7.6K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 16:40
121K
moosefs-4.56.6-r2.apk
2025-06-19 16:40
273K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 16:40
1.4K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 16:40
133K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 16:40
1.4K
lizardfs-master-3.13.0-r17.apk
2025-06-19 16:40
886K
lizardfs-doc-3.13.0-r17.apk
2025-06-19 16:40
11K
lizardfs-client-3.13.0-r17.apk
2025-06-19 16:40
1.1M
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 16:40
1.4K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 16:40
328K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 16:40
1.7K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 16:40
7.1K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 16:40
31K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 16:40
1.6K
lizardfs-3.13.0-r17.apk
2025-06-19 16:40
110K
bindfs-doc-1.17.7-r1.apk
2025-06-19 16:40
8.8K
bindfs-1.17.7-r1.apk
2025-06-19 16:40
21K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 03:09
23K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-18 00:42
5.9K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-18 00:42
5.1K
today-doc-6.2.1-r0.apk
2025-06-17 18:26
3.0K
today-6.2.1-r0.apk
2025-06-17 18:26
2.9K
dbus-broker-doc-37-r0.apk
2025-06-17 17:57
5.6K
dbus-broker-37-r0.apk
2025-06-17 17:57
86K
battery-limit-openrc-1-r0.apk
2025-06-17 17:57
1.6K
mdbook-admonish-1.20.0-r0.apk
2025-06-17 07:38
1.0M
kontainer-1.0.1-r0.apk
2025-06-17 07:38
191K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 20:34
2.3K
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 20:34
788K
mpv-sponsorblock-2.2.0-r0.apk
2025-06-16 17:22
1.4M
perl-html-query-doc-0.09-r0.apk
2025-06-16 15:04
9.8K
perl-html-query-0.09-r0.apk
2025-06-16 15:04
14K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 15:04
9.2K
perl-css-inliner-4027-r0.apk
2025-06-16 15:04
16K
rt5-5.0.8-r0.apk
2025-06-15 07:41
17M
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 07:41
3.4K
perl-task-catalyst-4.02-r0.apk
2025-06-15 07:41
2.7K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 07:41
4.3K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 07:41
2.8K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 07:41
3.3K
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 07:41
3.1K
lavacli-pyc-2.4-r0.apk
2025-06-15 07:41
88K
lavacli-doc-2.4-r0.apk
2025-06-15 07:41
34K
lavacli-2.4-r0.apk
2025-06-15 07:41
49K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-14 20:40
50K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-14 20:40
39K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 04:47
3.6K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 04:47
4.4K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 04:47
3.8K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 04:47
3.4K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 20:19
4.3K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 20:19
4.2K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 20:19
6.2K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 20:19
5.6K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 20:18
28K
perl-web-machine-0.17-r0.apk
2025-06-13 20:18
20K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 20:18
40K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 20:18
18K
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 16:29
2.0K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 16:29
4.8K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 16:29
38K
logc-dev-0.5.0-r1.apk
2025-06-13 16:29
8.3K
logc-config-0.5.0-r1.apk
2025-06-13 16:29
4.6K
logc-argp-0.5.0-r1.apk
2025-06-13 16:29
14K
logc-0.5.0-r1.apk
2025-06-13 16:29
7.7K
antimicrox-doc-3.5.1-r0.apk
2025-06-13 15:57
24K
antimicrox-3.5.1-r0.apk
2025-06-13 15:57
1.6M
tsung-1.8.0-r3.apk
2025-06-13 09:01
721K
turn-rs-openrc-3.4.0-r1.apk
2025-06-13 03:58
1.7K
turn-rs-doc-3.4.0-r1.apk
2025-06-13 03:58
11K
turn-rs-3.4.0-r1.apk
2025-06-13 03:58
600K
py3-arcus-5.3.0-r5.apk
2025-06-13 03:58
83K
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-13 03:58
64K
pulsar-client-cpp-3.7.1-r0.apk
2025-06-13 03:58
1.3M
w_scan2-doc-1.0.17-r0.apk
2025-06-11 22:18
3.9K
w_scan2-1.0.17-r0.apk
2025-06-11 22:18
142K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 18:37
18K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 18:37
19K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 18:37
14K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 18:37
13K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 18:35
9.6K
perl-io-handle-util-0.02-r0.apk
2025-06-11 18:35
10K
perl-asa-doc-1.04-r0.apk
2025-06-11 18:35
4.7K
perl-asa-1.04-r0.apk
2025-06-11 18:35
4.1K
py3-svglib-pyc-1.5.1-r0.apk
2025-06-10 21:26
42K
py3-svglib-doc-1.5.1-r0.apk
2025-06-10 21:26
1.8K
py3-svglib-1.5.1-r0.apk
2025-06-10 21:26
30K
py3-rst2pdf-pyc-0.102-r0.apk
2025-06-10 21:26
206K
py3-rst2pdf-0.102-r0.apk
2025-06-10 21:26
154K
megazeux-doc-2.93d-r0.apk
2025-06-10 15:55
465K
megazeux-2.93d-r0.apk
2025-06-10 15:55
1.4M
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 11:39
18K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 11:39
11K
ktx-libs-4.3.2-r1.apk
2025-06-10 05:01
1.5M
ktx-dev-4.3.2-r1.apk
2025-06-10 05:01
29K
ktx-4.3.2-r1.apk
2025-06-10 05:01
1.2M
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-09 18:30
77K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-09 18:30
97K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-09 18:30
2.8K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-09 18:30
3.0K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-09 18:26
13K
perl-text-worddiff-0.09-r0.apk
2025-06-09 18:26
10K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 14:09
5.3K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 14:09
5.2K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 14:09
37K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 14:09
14K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 14:09
2.9K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 14:09
3.3K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 14:09
3.6K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 14:09
5.1K
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 12:00
886K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 23:31
3.6K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 23:31
5.0K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 23:31
3.6K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 23:31
5.0K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 23:31
6.6K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 23:31
7.6K
perl-module-path-doc-0.19-r0.apk
2025-06-08 23:31
5.5K
perl-module-path-0.19-r0.apk
2025-06-08 23:31
4.5K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 23:31
3.6K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 23:31
6.0K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 23:31
2.8K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 23:31
4.1K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 23:31
33K
perl-lingua-stem-2.31-r0.apk
2025-06-08 23:31
12K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 23:31
4.2K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 23:31
546K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 23:31
3.2K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 23:31
2.8K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 23:31
3.3K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 23:31
2.9K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 23:31
3.2K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 23:31
3.0K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 23:31
53K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 23:31
21K
faust-vim-2.79.3-r0.apk
2025-06-08 23:31
2.3K
faust-tools-2.79.3-r0.apk
2025-06-08 23:31
119K
faust-static-2.79.3-r0.apk
2025-06-08 23:31
1.0M
faust-doc-2.79.3-r0.apk
2025-06-08 23:31
17M
faust-dev-2.79.3-r0.apk
2025-06-08 23:31
1.4M
faust-2.79.3-r0.apk
2025-06-08 23:31
7.9M
klong-20221212-r0.apk
2025-06-07 17:34
336K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 16:56
3.3K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 16:56
4.3K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 16:55
5.5K
perl-shell-guess-0.10-r0.apk
2025-06-07 16:55
5.7K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 16:55
23K
perl-html-formatexternal-26-r0.apk
2025-06-07 16:55
17K
perl-text-german-doc-0.06-r0.apk
2025-06-07 04:00
2.8K
perl-text-german-0.06-r0.apk
2025-06-07 04:00
13K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 04:00
4.0K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 04:00
5.2K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 16:58
3.5K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 16:58
3.9K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 16:58
3.3K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 16:58
5.0K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 16:58
3.7K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 16:58
5.9K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 20:41
4.9K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 20:41
4.8K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 20:41
2.8K
perl-class-unload-0.11-r0.apk
2025-06-04 20:41
2.3K
66-init-0.8.2.1-r0.apk
2025-06-03 21:12
1.3K
66-doc-0.8.2.1-r0.apk
2025-06-03 21:12
190K
66-dev-0.8.2.1-r0.apk
2025-06-03 21:12
3.4M
66-dbg-0.8.2.1-r0.apk
2025-06-03 21:12
772K
66-0.8.2.1-r0.apk
2025-06-03 21:12
305K
oblibs-dev-0.3.4.0-r0.apk
2025-06-01 15:15
484K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-01 15:15
96K
oblibs-0.3.4.0-r0.apk
2025-06-01 15:15
34K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-01 15:15
2.6K
66-tools-doc-0.1.2.0-r0.apk
2025-06-01 15:15
39K
66-tools-dev-0.1.2.0-r0.apk
2025-06-01 15:15
1.7K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-01 15:15
109K
66-tools-0.1.2.0-r0.apk
2025-06-01 15:15
57K
toss-1.1-r1.apk
2025-05-29 14:38
10K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 14:38
131K
py3-winacl-0.1.9-r1.apk
2025-05-29 14:38
82K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 14:38
129K
py3-minidump-0.0.24-r1.apk
2025-05-29 14:38
63K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 14:38
27K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 14:38
947K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 14:38
807K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 14:38
1.7K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 14:38
20K
masky-pyc-0.2.0-r2.apk
2025-05-29 14:38
63K
masky-0.2.0-r2.apk
2025-05-29 14:38
277K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 14:38
15K
kerberoast-0.2.0-r2.apk
2025-05-29 14:38
9.1K
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 14:38
364K
jackdaw-0.3.1-r2.apk
2025-05-29 14:38
2.0M
botan2-libs-2.19.5-r0.apk
2025-05-29 00:57
2.6M
botan2-doc-2.19.5-r0.apk
2025-05-29 00:57
306K
botan2-dev-2.19.5-r0.apk
2025-05-29 00:57
310K
botan2-2.19.5-r0.apk
2025-05-29 00:57
390K
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 09:49
2.9K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 09:49
2.8K
ouch-doc-0.6.1-r0.apk
2025-05-28 09:49
3.6K
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 09:49
2.2K
ouch-0.6.1-r0.apk
2025-05-28 09:49
1.7M
terminology-lang-1.14.0-r0.apk
2025-05-28 01:06
143K
terminology-doc-1.14.0-r0.apk
2025-05-28 01:06
8.7K
terminology-1.14.0-r0.apk
2025-05-28 01:06
2.7M
baikal-sqlite-0.10.1-r1.apk
2025-05-27 23:44
1.2K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 23:44
1.0K
baikal-mysql-0.10.1-r1.apk
2025-05-27 23:44
1.0K
baikal-0.10.1-r1.apk
2025-05-27 23:44
1.3M
abnfgen-doc-0.21-r0.apk
2025-05-27 23:28
4.8K
abnfgen-0.21-r0.apk
2025-05-27 23:28
17K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 19:47
507K
font-cascadia-code-2407.24-r1.apk
2025-05-27 19:47
526K
font-cascadia-2407.24-r1.apk
2025-05-27 19:47
1.0K
hiawatha-openrc-11.6-r1.apk
2025-05-27 19:06
1.4K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 19:06
17K
hiawatha-doc-11.6-r1.apk
2025-05-27 19:06
21K
hiawatha-11.6-r1.apk
2025-05-27 19:06
187K
touchpad-emulator-0.3-r0.apk
2025-05-26 21:38
13K
libinfnoise-0.3.3-r0.apk
2025-05-26 21:38
13K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 21:38
1.5K
infnoise-doc-0.3.3-r0.apk
2025-05-26 21:38
3.9K
infnoise-0.3.3-r0.apk
2025-05-26 21:38
14K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-05-26 21:38
1.5K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-05-26 21:38
74K
elementary-settings-daemon-8.3.0-r0.apk
2025-05-26 21:38
81K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 02:00
2.0K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 02:00
2.8K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-26 01:58
22K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-26 01:57
2.0K
ruby-coderay-1.1.3-r0.apk
2025-05-26 01:57
89K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-26 01:54
2.0K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-26 01:54
14K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-26 01:52
2.1K
ruby-appraisal-2.5.0-r0.apk
2025-05-26 01:52
11K
tinyemu-2019.12.21-r0.apk
2025-05-26 01:41
157K
obnc-doc-0.17.2-r0.apk
2025-05-26 01:41
33K
obnc-0.17.2-r0.apk
2025-05-26 01:41
162K
elementary-dock-lang-8.0.2-r0.apk
2025-05-25 01:20
27K
elementary-dock-8.0.2-r0.apk
2025-05-25 01:20
88K
drogon-doc-1.9.4-r2.apk
2025-05-22 10:35
2.0K
drogon-dev-1.9.4-r2.apk
2025-05-22 10:35
121K
drogon-1.9.4-r2.apk
2025-05-22 10:35
1.4M
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 10:35
539K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 10:35
4.2K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 10:35
220K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 20:20
20K
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 20:20
20K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 13:28
11K
trippy-zsh-completion-0.13.0-r0.apk
2025-05-19 01:35
4.6K
trippy-bash-completion-0.13.0-r0.apk
2025-05-19 01:35
2.9K
trippy-0.13.0-r0.apk
2025-05-19 01:35
2.2M
afew-doc-3.0.1-r0.apk
2025-05-19 01:11
12K
afew-3.0.1-r0.apk
2025-05-19 01:11
73K
parse-changelog-0.6.12-r0.apk
2025-05-19 00:25
577K
httplz-doc-2.2.0-r0.apk
2025-05-18 17:44
2.0K
httplz-2.2.0-r0.apk
2025-05-18 17:44
1.3M
mdbook-katex-0.9.4-r0.apk
2025-05-18 07:06
1.2M
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 21:26
2.7M
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-16 03:50
6.2K
py3-python-jwt-4.1.0-r2.apk
2025-05-16 03:50
7.8K
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-15 22:20
1.9K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-15 22:20
78K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-15 22:20
2.0K
ytmdl-2024.08.15.1-r1.apk
2025-05-15 22:20
50K
xkb-switch-doc-1.8.5-r1.apk
2025-05-15 22:20
1.9K
xkb-switch-1.8.5-r1.apk
2025-05-15 22:20
18K
xcape-doc-1.2-r1.apk
2025-05-15 22:20
2.8K
xcape-1.2-r1.apk
2025-05-15 22:20
6.3K
river-shifttags-doc-0.2.1-r1.apk
2025-05-15 22:20
2.1K
river-shifttags-0.2.1-r1.apk
2025-05-15 22:20
6.0K
readosm-dev-1.1.0-r3.apk
2025-05-15 22:20
32K
readosm-1.1.0-r3.apk
2025-05-15 22:20
14K
qperf-doc-0.4.11-r2.apk
2025-05-15 22:20
5.3K
qperf-0.4.11-r2.apk
2025-05-15 22:20
36K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-15 22:20
95K
py3-youtube-search-1.6.6-r5.apk
2025-05-15 22:20
78K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-15 22:20
5.3K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-15 22:20
4.6K
py3-simber-pyc-0.2.6-r5.apk
2025-05-15 22:20
16K
py3-simber-0.2.6-r5.apk
2025-05-15 22:20
12K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-15 22:20
39K
py3-pyte-0.8.2-r3.apk
2025-05-15 22:20
30K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-15 22:20
22K
py3-pysrt-1.1.2-r5.apk
2025-05-15 22:20
25K
py3-itunespy-pyc-1.6-r5.apk
2025-05-15 22:20
14K
py3-itunespy-1.6-r5.apk
2025-05-15 22:20
9.5K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-15 22:20
5.6K
py3-grequests-0.7.0-r3.apk
2025-05-15 22:20
6.5K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-15 22:20
11K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-15 22:20
448K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-15 22:20
32K
py3-ffmpeg-0.2.0-r5.apk
2025-05-15 22:20
23K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-15 22:20
14K
py3-downloader-cli-0.3.4-r2.apk
2025-05-15 22:20
11K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-15 22:20
90K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-15 22:20
52K
ptylie-doc-0.2-r2.apk
2025-05-15 22:20
2.9K
ptylie-0.2-r2.apk
2025-05-15 22:20
11K
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-15 22:20
1.7K
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-15 22:20
3.6M
pixiewps-doc-1.4.2-r2.apk
2025-05-15 22:20
3.1K
pixiewps-1.4.2-r2.apk
2025-05-15 22:20
54K
piglit-0_git20241106-r1.apk
2025-05-15 22:20
89M
php84-pecl-phpy-1.0.11-r1.apk
2025-05-15 22:20
42K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-15 22:20
42K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-15 22:20
6.4K
nsq-1.3.0-r10.apk
2025-05-15 22:20
25M
muse-doc-4.2.1-r2.apk
2025-05-15 22:20
4.1M
muse-4.2.1-r2.apk
2025-05-15 22:20
6.0M
mmar-0.2.5-r1.apk
2025-05-15 22:20
2.5M
mjpg-streamer-0_git20210220-r2.apk
2025-05-15 22:20
182K
mage-1.13.0-r23.apk
2025-05-15 22:19
1.5M
linphone-libs-5.3.38-r0.apk
2025-05-15 22:19
2.9M
linphone-dev-5.3.38-r0.apk
2025-05-15 22:19
245K
linphone-5.3.38-r0.apk
2025-05-15 22:19
9.0M
hctl-0.2.7-r0.apk
2025-05-15 22:19
1.3M
elementary-icon-theme-8.1.0-r0.apk
2025-05-15 22:19
5.0M
downloader-cli-0.3.4-r2.apk
2025-05-15 22:19
1.7K
castero-pyc-0.9.5-r4.apk
2025-05-15 22:19
94K
castero-0.9.5-r4.apk
2025-05-15 22:19
50K
belle-sip-dev-5.3.105-r0.apk
2025-05-15 22:18
54K
belle-sip-5.3.105-r0.apk
2025-05-15 22:18
666K
suru-icon-theme-2025.05.0-r0.apk
2025-05-10 16:49
2.9M
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-10 16:49
26K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-10 16:49
3.1K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-10 16:49
37K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-10 16:49
91K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-10 16:49
2.4K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-10 16:49
65K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-10 16:49
456K
lomiri-clock-app-4.1.1-r0.apk
2025-05-10 16:49
224K
spreadtrum_flash-1.20240815-r0.apk
2025-05-10 03:44
34K
simgear-dev-2024.1.1-r0.apk
2025-05-10 03:44
404K
simgear-2024.1.1-r0.apk
2025-05-10 03:44
2.2M
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-10 03:44
16K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-10 03:44
10K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-10 03:44
1.6K
py3-cryptg-0.5.0-r0.apk
2025-05-10 03:44
186K
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-10 03:44
5.6K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-10 03:44
213K
libglib-testing-doc-0.1.1-r0.apk
2025-05-10 03:44
25K
libglib-testing-dev-0.1.1-r0.apk
2025-05-10 03:44
5.3K
libglib-testing-0.1.1-r0.apk
2025-05-10 03:44
12K
commit-lsp-0.1.0-r0.apk
2025-05-10 03:44
2.1M
php81-pecl-ds-1.6.0-r0.apk
2025-05-07 16:09
62K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-07 12:21
24K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-07 12:21
10K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-07 12:21
3.7K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-07 12:21
4.1K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-07 12:21
5.5K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-07 12:21
4.1K
lcalc-libs-2.1.0-r0.apk
2025-05-03 11:19
227K
lcalc-doc-2.1.0-r0.apk
2025-05-03 11:19
437K
lcalc-dev-2.1.0-r0.apk
2025-05-03 11:19
51K
lcalc-2.1.0-r0.apk
2025-05-03 11:19
155K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-01 20:07
789K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-01 20:07
757K
nicotine-plus-doc-3.3.10-r0.apk
2025-05-01 20:07
2.3K
nicotine-plus-3.3.10-r0.apk
2025-05-01 20:07
1.6M
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-01 09:16
3.9K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-01 09:16
4.7K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-01 09:16
3.1K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-01 09:16
2.5K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-01 09:16
323K
perl-html-formhandler-0.40068-r0.apk
2025-05-01 09:16
135K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-01 09:16
3.3K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-01 09:16
3.2K
ol-doc-2.6-r0.apk
2025-05-01 08:46
2.3K
ol-dev-2.6-r0.apk
2025-05-01 08:46
16K
ol-2.6-r0.apk
2025-05-01 08:46
1.0M
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-04-30 18:22
3.5K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-04-30 18:22
3.0K
nvtop-doc-3.2.0-r0.apk
2025-04-30 01:40
3.3K
nvtop-3.2.0-r0.apk
2025-04-30 01:40
65K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-29 01:05
49K
jedi-language-server-0.45.1-r0.apk
2025-04-29 01:05
31K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 21:58
164K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 21:58
137K
libstirshaken-0_git20240208-r4.apk
2025-04-28 21:58
53K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 17:07
3.4K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 17:07
3.0K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 19:13
5.6K
perl-carp-repl-0.18-r0.apk
2025-04-26 19:13
5.7K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 12:23
3.2K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 12:23
3.4K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 10:14
7.3K
perl-lexical-persistence-1.023-r0.apk
2025-04-26 10:14
7.3K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 22:35
89K
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 22:35
50K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 17:00
60K
perl-devel-repl-1.003029-r0.apk
2025-04-24 17:00
28K
typobuster-1.0.0-r0.apk
2025-04-24 02:11
129K
sshs-4.7.2-r0.apk
2025-04-24 00:53
717K
emacs-ement-0.16-r0.apk
2025-04-23 07:12
290K
py3-remind-pyc-0.19.2-r0.apk
2025-04-22 20:32
22K
py3-remind-0.19.2-r0.apk
2025-04-22 20:32
24K
symlinks-doc-1.4.3-r0.apk
2025-04-21 23:27
3.6K
symlinks-1.4.3-r0.apk
2025-04-21 23:27
5.6K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 19:35
3.3K
perl-test-expect-0.34-r0.apk
2025-04-21 19:35
3.2K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 19:33
5.2K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 19:33
5.7K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 10:21
6.0K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 10:21
7.1K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 10:21
4.6K
perl-expect-simple-0.04-r0.apk
2025-04-20 10:21
5.2K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 10:21
4.6K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 10:21
4.6K
perl-expect-doc-1.38-r0.apk
2025-04-19 21:21
20K
perl-expect-1.38-r0.apk
2025-04-19 21:21
32K
perl-test-file-doc-1.995-r0.apk
2025-04-19 20:18
6.5K
perl-test-file-1.995-r0.apk
2025-04-19 20:18
11K
way-secure-doc-0.2.0-r0.apk
2025-04-19 00:15
2.8K
way-secure-0.2.0-r0.apk
2025-04-19 00:15
197K
powerctl-doc-1.1-r6.apk
2025-04-18 22:57
2.9K
powerctl-1.1-r6.apk
2025-04-18 22:57
106K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-17 01:06
32K
py3-pysonic-1.0.3-r0.apk
2025-04-17 01:06
35K
yarn-berry-4.9.1-r0.apk
2025-04-16 00:05
1.0M
zita-resampler-doc-1.11.2-r0.apk
2025-04-15 16:08
3.8K
zita-resampler-dev-1.11.2-r0.apk
2025-04-15 16:08
3.0K
zita-resampler-1.11.2-r0.apk
2025-04-15 16:08
18K
usbtop-1.0-r0.apk
2025-04-15 16:08
13K
sqruff-doc-0.25.26-r0.apk
2025-04-15 16:08
8.4K
sqruff-0.25.26-r0.apk
2025-04-15 16:08
2.1M
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-15 16:08
1.7K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-15 16:08
209K
py3-ecbdata-0.1.1-r0.apk
2025-04-15 16:08
13K
py3-doi-pyc-0.2-r0.apk
2025-04-15 16:08
4.5K
py3-doi-0.2-r0.apk
2025-04-15 16:08
5.9K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-15 16:08
16K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-15 16:08
9.8K
perl-test-www-mechanize-1.60-r0.apk
2025-04-15 16:08
15K
perl-template-timer-doc-1.00-r0.apk
2025-04-15 16:08
3.4K
perl-template-timer-1.00-r0.apk
2025-04-15 16:08
3.2K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-15 16:08
12K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-15 16:08
13K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-15 16:08
12K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-15 16:08
6.2K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-15 16:08
7.5K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-15 16:08
8.7K
nfoview-doc-2.1-r0.apk
2025-04-15 16:08
7.7K
nfoview-2.1-r0.apk
2025-04-15 16:08
38K
libresprite-doc-1.2-r0.apk
2025-04-15 16:08
14K
libresprite-1.2-r0.apk
2025-04-15 16:08
15M
font-aref-ruqaa-1.006-r0.apk
2025-04-15 16:08
357K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-15 16:08
2.0K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-15 16:08
399K
cpplint-pyc-2.0.2-r0.apk
2025-04-15 16:07
99K
cpplint-2.0.2-r0.apk
2025-04-15 16:07
80K
capnet-assist-lang-8.0.0-r0.apk
2025-04-15 16:07
37K
capnet-assist-8.0.0-r0.apk
2025-04-15 16:07
43K
libretro-ppsspp-0_git20210516-r15.apk
2025-04-12 03:36
2.3M
decoder-lang-0.7.0-r0.apk
2025-04-12 03:36
59K
decoder-0.7.0-r0.apk
2025-04-12 03:36
1.9M
php84-snappy-0.2.3-r0.apk
2025-04-09 23:14
4.8K
php82-snappy-0.2.3-r0.apk
2025-04-09 23:14
4.8K
rclone-browser-1.8.0-r1.apk
2025-04-09 03:46
336K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 13:36
6.4K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 13:36
9.7K
welle-io-doc-2.7-r0.apk
2025-04-08 08:46
3.7K
welle-io-2.7-r0.apk
2025-04-08 08:46
400K
welle-cli-2.7-r0.apk
2025-04-08 08:46
304K
gambit-doc-4.9.5-r1.apk
2025-04-07 12:55
4.1K
gambit-dev-4.9.5-r1.apk
2025-04-07 12:55
9.6M
gambit-4.9.5-r1.apk
2025-04-07 12:55
9.5M
wabt-doc-1.0.37-r0.apk
2025-04-07 10:33
13K
wabt-1.0.37-r0.apk
2025-04-07 10:33
4.8M
perl-string-escape-doc-2010.002-r0.apk
2025-04-07 10:33
7.6K
perl-string-escape-2010.002-r0.apk
2025-04-07 10:33
8.6K
perl-object-signature-doc-1.08-r0.apk
2025-04-07 10:33
5.2K
perl-object-signature-1.08-r0.apk
2025-04-07 10:33
3.4K
perl-email-sender-doc-2.601-r0.apk
2025-04-07 10:33
42K
perl-email-sender-2.601-r0.apk
2025-04-07 10:33
24K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-07 10:33
10K
perl-catalyst-view-email-0.36-r0.apk
2025-04-07 10:33
8.8K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-07 10:33
25K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-07 10:33
14K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-07 10:33
13K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-07 10:33
3.9K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-04-07 10:33
56K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-04-07 10:33
32K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-07 10:33
375K
perl-catalyst-manual-5.9013-r0.apk
2025-04-07 10:33
2.4K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-07 10:33
4.2K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-07 10:33
4.7K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-07 10:33
6.5K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-07 10:33
8.4K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-07 10:33
27K
perl-catalyst-action-rest-1.21-r0.apk
2025-04-07 10:33
25K
kotlin-language-server-1.3.13-r0.apk
2025-04-07 10:32
83M
identme-0.6.0-r0.apk
2025-04-07 10:32
46K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 12:08
191K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 07:46
17K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 07:46
285K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 07:46
23K
gpscorrelate-2.3-r0.apk
2025-03-27 07:46
47K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 18:45
858K
cherrytree-doc-1.4.0-r0.apk
2025-03-26 18:45
1.8K
cherrytree-1.4.0-r0.apk
2025-03-26 18:45
2.8M
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 11:50
1.5K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 11:50
3.7K
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 11:50
1.7K
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 11:50
1.2M
trigger-rally-doc-0.6.7-r3.apk
2025-03-26 00:02
28K
trigger-rally-data-0.6.7-r3.apk
2025-03-26 00:02
352M
trigger-rally-0.6.7-r3.apk
2025-03-26 00:01
304K
leptosfmt-doc-0.1.33-r0.apk
2025-03-26 00:01
5.8K
leptosfmt-0.1.33-r0.apk
2025-03-26 00:01
1.0M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 18:46
1.3M
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 18:46
13M
efl-gdb-1.28.1-r2.apk
2025-03-23 16:08
1.4K
efl-dev-1.28.1-r2.apk
2025-03-23 16:08
1.8M
efl-1.28.1-r2.apk
2025-03-23 16:08
34M
perl-uri-find-doc-20160806-r0.apk
2025-03-23 08:59
8.9K
perl-uri-find-20160806-r0.apk
2025-03-23 08:59
13K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 14:27
14K
tanidvr-1.4.1-r2.apk
2025-03-21 14:27
25K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-21 12:54
14K
perl-file-changenotify-0.31-r0.apk
2025-03-21 12:54
12K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-21 12:54
7.2K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-21 12:54
8.4K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-21 12:54
10K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-21 12:54
5.1K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-21 12:54
11K
perl-catalyst-devel-1.42-r0.apk
2025-03-21 12:54
54K
perl-data-visitor-doc-0.32-r0.apk
2025-03-21 03:23
8.1K
perl-data-visitor-0.32-r0.apk
2025-03-21 03:23
9.5K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-21 03:23
3.8K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-21 03:23
3.5K
sentrypeer-doc-4.0.4-r0.apk
2025-03-19 19:48
3.0K
sentrypeer-4.0.4-r0.apk
2025-03-19 19:48
1.4M
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-19 19:48
93K
py3-mistletoe-1.4.0-r0.apk
2025-03-19 19:48
44K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-19 19:48
21K
py3-agithub-2.2.2-r7.apk
2025-03-19 19:48
19K
perl-uri-ws-doc-0.03-r0.apk
2025-03-19 19:48
4.1K
perl-uri-ws-0.03-r0.apk
2025-03-19 19:48
2.1K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-19 19:48
46K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-19 19:48
20K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-19 19:48
2.9K
perl-tie-toobject-0.03-r0.apk
2025-03-19 19:48
2.3K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-19 19:48
3.2K
perl-text-simpletable-2.07-r0.apk
2025-03-19 19:48
4.2K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-19 19:48
2.9K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-19 19:48
2.6K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-19 19:48
3.8K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-19 19:48
3.4K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-19 19:48
22K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-19 19:48
8.3K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-19 19:48
33K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-19 19:48
28K
perl-json-validator-doc-5.15-r0.apk
2025-03-19 19:48
34K
perl-json-validator-5.15-r0.apk
2025-03-19 19:48
58K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-19 19:48
216K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-19 19:48
149K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-19 19:47
19K
ampy-pyc-1.1.0-r6.apk
2025-03-19 19:47
19K
ampy-doc-1.1.0-r6.apk
2025-03-19 19:47
3.8K
ampy-1.1.0-r6.apk
2025-03-19 19:47
15K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 08:38
3.9K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 08:38
3.5K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 08:38
24K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 08:38
13K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 08:38
5.1K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 08:38
5.2K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 08:38
3.9K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 08:38
3.8K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 15:09
3.3K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 15:09
2.8K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 15:09
3.9K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 15:09
3.7K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 15:09
23K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 15:09
7.5K
yoshimi-doc-2.3.3.3-r0.apk
2025-03-14 23:16
4.5M
yoshimi-2.3.3.3-r0.apk
2025-03-14 23:16
5.8M
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 23:16
4.4K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 23:16
4.8K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 23:16
6.5K
perl-cgi-struct-1.21-r0.apk
2025-03-14 23:16
7.6K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 13:32
540K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 13:32
529K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 13:32
545K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 13:32
534K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 13:32
527K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 13:32
520K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 13:32
541K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 13:32
530K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 13:32
542K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 13:32
531K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
535K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 13:32
523K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 13:32
550K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 13:32
538K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 13:32
545K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 13:32
550K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 13:32
538K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 13:32
533K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 13:32
524K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 13:32
518K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
548K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 13:32
535K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 13:32
451K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 13:32
449K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
492K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 13:32
486K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 13:32
448K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 13:32
526K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 13:32
517K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
537K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 13:32
525K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 13:32
14K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
489K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 13:32
484K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 13:32
540K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 13:32
530K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 13:32
544K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 13:32
532K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 13:32
537K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 13:32
527K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 13:32
547K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 13:32
535K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 13:32
509K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
526K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 13:32
520K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 13:32
504K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 13:32
483K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 13:32
485K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 13:32
482K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 13:32
478K
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 13:32
446K
zvbi-doc-0.2.44-r0.apk
2025-03-11 23:14
21K
zvbi-0.2.44-r0.apk
2025-03-11 23:14
182K
libzvbi-static-0.2.44-r0.apk
2025-03-11 23:14
494K
libzvbi-dev-0.2.44-r0.apk
2025-03-11 23:14
14K
libzvbi-0.2.44-r0.apk
2025-03-11 23:14
229K
jotdown-0.7.0-r0.apk
2025-03-11 21:29
223K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-11 00:50
4.8K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-11 00:50
102K
thunar-gtkhash-plugin-1.5-r0.apk
2025-03-10 20:00
22K
nemo-gtkhash-plugin-1.5-r0.apk
2025-03-10 20:00
22K
gtkhash-lang-1.5-r0.apk
2025-03-10 20:00
45K
gtkhash-1.5-r0.apk
2025-03-10 20:00
85K
caja-gtkhash-plugin-1.5-r0.apk
2025-03-10 20:00
24K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-10 15:12
35K
ruff-lsp-0.0.62-r0.apk
2025-03-10 15:12
21K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-10 15:12
16K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-10 15:12
9.9K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-10 15:12
11K
cvise-pyc-2.11.0-r0.apk
2025-03-10 15:12
59K
cvise-2.11.0-r0.apk
2025-03-10 15:12
4.8M
wiringx-dev-0_git20240317-r2.apk
2025-03-03 17:07
173K
wiringx-0_git20240317-r2.apk
2025-03-03 17:07
58K
libuecc-dev-7-r4.apk
2025-03-03 17:07
4.4K
libuecc-7-r4.apk
2025-03-03 17:07
10K
yices2-libs-2.6.5-r0.apk
2025-03-03 02:53
845K
yices2-dev-2.6.5-r0.apk
2025-03-03 02:53
41K
yices2-2.6.5-r0.apk
2025-03-03 02:53
2.2M
cargo-crev-0.26.3-r0.apk
2025-03-03 01:48
6.0M
wf-shell-doc-0.9.0-r0.apk
2025-02-27 23:24
2.8K
wf-shell-dev-0.9.0-r0.apk
2025-02-27 23:24
1.4K
wf-shell-0.9.0-r0.apk
2025-02-27 23:24
6.1M
wf-config-dev-0.9.0-r0.apk
2025-02-27 23:24
16K
wf-config-0.9.0-r0.apk
2025-02-27 23:24
110K
wcm-0.9.0-r0.apk
2025-02-27 23:24
369K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 23:24
573K
wayfire-doc-0.9.0-r0.apk
2025-02-27 23:24
3.4K
wayfire-dev-0.9.0-r0.apk
2025-02-27 23:24
137K
wayfire-0.9.0-r0.apk
2025-02-27 23:24
2.6M
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 16:46
9.6K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 16:46
9.6K
xa-doc-2.4.1-r0.apk
2025-02-25 14:02
17K
xa-2.4.1-r0.apk
2025-02-25 14:02
72K
belr-dev-5.3.105-r0.apk
2025-02-25 14:02
14K
belr-5.3.105-r0.apk
2025-02-25 14:02
112K
belcard-libs-5.3.105-r0.apk
2025-02-25 14:02
214K
belcard-dev-5.3.105-r0.apk
2025-02-25 14:02
11K
belcard-5.3.105-r0.apk
2025-02-25 14:02
11K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-25 06:12
13K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-25 06:12
13K
ecasound-doc-2.9.3-r4.apk
2025-02-25 06:12
38K
ecasound-dev-2.9.3-r4.apk
2025-02-25 06:12
2.1M
ecasound-2.9.3-r4.apk
2025-02-25 06:12
692K
ab-tidy-0.1.0-r2.apk
2025-02-24 02:11
92K
rdrview-doc-0.1.3-r0.apk
2025-02-22 21:05
3.4K
rdrview-0.1.3-r0.apk
2025-02-22 21:05
28K
kirc-doc-0.3.3-r0.apk
2025-02-22 12:10
2.5K
kirc-0.3.3-r0.apk
2025-02-22 12:10
15K
azpainter-doc-3.0.11-r0.apk
2025-02-22 12:10
42K
azpainter-3.0.11-r0.apk
2025-02-22 12:10
803K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 12:09
64K
ueberzug-18.3.1-r0.apk
2025-02-22 12:09
65K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-22 00:45
85K
py3-marshmallow-3.26.1-r0.apk
2025-02-22 00:45
47K
sauerbraten-2020.12.29-r4.apk
2025-02-20 19:45
934M
bochs-doc-2.8-r1.apk
2025-02-20 19:45
139K
bochs-2.8-r1.apk
2025-02-20 19:45
944K
rsstail-doc-2.2-r0.apk
2025-02-17 13:15
2.5K
rsstail-2.2-r0.apk
2025-02-17 13:15
7.8K
repowerd-openrc-2023.07-r3.apk
2025-02-17 13:15
1.4K
repowerd-2023.07-r3.apk
2025-02-17 13:15
873K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-17 13:15
6.3K
py3-pymsteams-0.2.5-r0.apk
2025-02-17 13:15
12K
openvpn3-dev-3.8.5-r1.apk
2025-02-17 13:15
667K
openvpn3-3.8.5-r1.apk
2025-02-17 13:15
390K
litehtml-static-0.9-r2.apk
2025-02-17 13:15
1.0M
litehtml-dev-0.9-r2.apk
2025-02-17 13:15
41K
litehtml-0.9-r2.apk
2025-02-17 13:15
316K
libxml++-dev-5.4.0-r0.apk
2025-02-17 13:15
29K
libxml++-5.4.0-r0.apk
2025-02-17 13:15
64K
libqtdbusmock-0.9.1-r2.apk
2025-02-17 13:15
68K
gstreamermm-dev-1.10.0-r6.apk
2025-02-17 13:15
309K
gstreamermm-1.10.0-r6.apk
2025-02-17 13:15
478K
gmenuharness-dev-0.1.4-r2.apk
2025-02-17 13:15
3.8K
gmenuharness-0.1.4-r2.apk
2025-02-17 13:15
37K
flann-doc-1.9.2-r1.apk
2025-02-17 13:15
2.3K
flann-dev-1.9.2-r1.apk
2025-02-17 13:15
2.7M
flann-1.9.2-r1.apk
2025-02-17 13:15
1.8M
draco-tools-1.5.7-r2.apk
2025-02-17 13:15
1.2M
draco-static-1.5.7-r2.apk
2025-02-17 13:15
2.9M
draco-dev-1.5.7-r2.apk
2025-02-17 13:15
204K
draco-1.5.7-r2.apk
2025-02-17 13:15
836K
click-pyc-0.5.2-r4.apk
2025-02-17 13:15
175K
click-doc-0.5.2-r4.apk
2025-02-17 13:15
3.0K
click-dev-0.5.2-r4.apk
2025-02-17 13:15
8.9K
click-0.5.2-r4.apk
2025-02-17 13:15
155K
barrier-doc-2.4.0-r2.apk
2025-02-17 13:15
13K
barrier-2.4.0-r2.apk
2025-02-17 13:15
1.0M
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 16:14
6.8K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 16:14
11K
aptdec-libs-1.8.0-r1.apk
2025-02-09 00:43
15K
aptdec-dev-1.8.0-r1.apk
2025-02-09 00:43
3.2K
aptdec-1.8.0-r1.apk
2025-02-09 00:43
85K
binwalk-3.1.0-r0.apk
2025-02-07 18:17
1.0M
py3-pynest2d-5.2.2-r5.apk
2025-02-06 06:25
233K
libnest2d-dev-0.4-r7.apk
2025-02-06 06:25
70K
libnest2d-0.4-r7.apk
2025-02-06 06:25
1.0K
ttyper-1.6.0-r0.apk
2025-02-06 00:09
586K
fuzzylite-libs-6.0-r2.apk
2025-01-31 23:07
360K
fuzzylite-doc-6.0-r2.apk
2025-01-31 23:07
1.8K
fuzzylite-dev-6.0-r2.apk
2025-01-31 23:07
68K
fuzzylite-6.0-r2.apk
2025-01-31 23:07
3.8K
gtklock-doc-4.0.0-r0.apk
2025-01-31 17:18
2.7K
gtklock-4.0.0-r0.apk
2025-01-31 17:18
18K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 17:18
5.0K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 17:18
35K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-30 02:09
130K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 07:50
11K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 07:50
22K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 22:46
4.4K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 22:46
11K
fastd-openrc-23-r0.apk
2025-01-27 22:46
1.4K
fastd-doc-23-r0.apk
2025-01-27 22:46
3.0K
fastd-23-r0.apk
2025-01-27 22:46
72K
py3-opendht-3.1.11-r0.apk
2025-01-27 22:08
152K
opendht-libs-3.1.11-r0.apk
2025-01-27 22:08
594K
opendht-doc-3.1.11-r0.apk
2025-01-27 22:08
2.8K
opendht-dev-3.1.11-r0.apk
2025-01-27 22:08
71K
opendht-3.1.11-r0.apk
2025-01-27 22:08
186K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 22:26
2.6K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 22:26
40K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 17:05
41K
py3-milc-1.9.1-r0.apk
2025-01-25 17:05
26K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 11:34
74K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 11:34
188K
vcdimager-2.0.1-r5.apk
2025-01-22 11:34
480K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 21:47
43K
py3-cdio-2.1.1-r6.apk
2025-01-20 21:47
100K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 09:32
23K
kodaskanna-0.2.2-r0.apk
2025-01-20 09:32
52K
trace-cmd-doc-3.3.1-r1.apk
2025-01-20 06:38
171K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-20 06:38
483K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-20 06:38
3.1K
trace-cmd-3.3.1-r1.apk
2025-01-20 06:38
164K
tang-openrc-15-r0.apk
2025-01-20 06:38
1.6K
tang-doc-15-r0.apk
2025-01-20 06:38
20K
tang-dbg-15-r0.apk
2025-01-20 06:38
30K
tang-15-r0.apk
2025-01-20 06:38
16K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-20 06:38
66K
py3-isbnlib-3.10.14-r0.apk
2025-01-20 06:38
42K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-20 06:38
47K
py3-flask-limiter-3.10.1-r0.apk
2025-01-20 06:38
26K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-20 06:38
49K
py3-bibtexparser-1.4.3-r0.apk
2025-01-20 06:38
40K
clevis-doc-21-r0.apk
2025-01-20 06:38
23K
clevis-dbg-21-r0.apk
2025-01-20 06:38
60K
clevis-bash-completion-21-r0.apk
2025-01-20 06:38
1.8K
clevis-21-r0.apk
2025-01-20 06:38
51K
nuzzle-doc-1.6-r0.apk
2025-01-17 16:43
2.9K
nuzzle-1.6-r0.apk
2025-01-17 16:43
11K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 18:34
420K
perl-dbix-class-0.082844-r0.apk
2025-01-16 18:34
355K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 14:38
571K
perl-libintl-perl-1.35-r0.apk
2025-01-16 14:38
305K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 09:00
219K
py3-spnego-0.11.2-r0.apk
2025-01-16 09:00
118K
highfive-2.10.1-r0.apk
2025-01-15 09:44
75K
aoetools-doc-37-r2.apk
2025-01-15 05:10
13K
aoetools-37-r2.apk
2025-01-15 05:10
21K
diceware-pyc-1.0.1-r0.apk
2025-01-13 23:52
18K
diceware-1.0.1-r0.apk
2025-01-13 23:52
334K
libxo-doc-1.7.5-r0.apk
2025-01-13 00:03
63K
libxo-dev-1.7.5-r0.apk
2025-01-13 00:03
130K
libxo-1.7.5-r0.apk
2025-01-13 00:03
178K
zutty-doc-0.16-r0.apk
2025-01-12 22:23
66K
zutty-0.16-r0.apk
2025-01-12 22:23
155K
elementary-theme-8.1.0-r0.apk
2025-01-12 21:52
1.5M
typstyle-0.12.14-r0.apk
2025-01-12 20:35
495K
monopd-openrc-0.10.4-r0.apk
2025-01-11 14:41
1.4K
monopd-0.10.4-r0.apk
2025-01-11 14:41
89K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 19:23
2.5M
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 19:23
149K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 19:23
68K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 16:31
97K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 17:01
287K
gkrellm-server-2.3.11-r0.apk
2025-01-08 23:37
51K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 23:37
379K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 23:37
18K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 23:37
16K
gkrellm-2.3.11-r0.apk
2025-01-08 23:37
383K
twinkle-doc-1.10.3-r3.apk
2025-01-04 22:57
3.2K
twinkle-1.10.3-r3.apk
2025-01-04 22:57
2.4M
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 22:57
38K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 22:57
171K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-03 18:12
34K
py3-mopidy-local-3.3.0-r0.apk
2025-01-03 18:12
28K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 18:12
38K
linux-sophgo-doc-6.12.7-r0.apk
2025-01-01 15:19
13M
linux-sophgo-dev-6.12.7-r0.apk
2025-01-01 15:19
15M
linux-sophgo-6.12.7-r0.apk
2025-01-01 15:19
90M
soapy-bladerf-0.4.2-r0.apk
2025-01-01 03:42
48K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2025-01-01 03:42
11K
perl-getopt-long-descriptive-0.116-r0.apk
2025-01-01 03:42
14K
perl-dbix-lite-doc-0.36-r0.apk
2025-01-01 03:42
17K
perl-dbix-lite-0.36-r0.apk
2025-01-01 03:42
18K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 05:59
12K
perl-cli-osprey-0.08-r0.apk
2024-12-28 05:59
12K
libdng-utils-0.2.1-r0.apk
2024-12-28 00:33
5.7K
libdng-doc-0.2.1-r0.apk
2024-12-28 00:33
3.9K
libdng-dev-0.2.1-r0.apk
2024-12-28 00:33
2.9K
libdng-0.2.1-r0.apk
2024-12-28 00:33
11K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 15:26
2.8K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 15:26
2.2K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 10:37
2.8K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 10:37
2.8K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-26 05:50
67K
py3-pysubs2-1.8.0-r0.apk
2024-12-26 05:50
36K
py3-limits-pyc-3.14.1-r0.apk
2024-12-26 05:50
71K
py3-limits-3.14.1-r0.apk
2024-12-26 05:50
33K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 18:11
6.5K
amber-mpris-1.2.9-r0.apk
2024-12-22 18:11
204K
u-boot-spacemit-2022.10.2.0.4-r0.apk
2024-12-19 21:35
927K
opensbi-spacemit-1.3.2.0.4-r0.apk
2024-12-19 21:32
262K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 12:36
27K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 12:36
17K
throttled-pyc-0.10.0-r1.apk
2024-12-15 20:23
28K
throttled-openrc-0.10.0-r1.apk
2024-12-15 20:23
1.3K
throttled-0.10.0-r1.apk
2024-12-15 20:23
14K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-15 03:08
7.8K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-15 03:08
6.5K
azote-pyc-1.14.0-r0.apk
2024-12-15 02:12
98K
azote-1.14.0-r0.apk
2024-12-15 02:12
7.6M
restinio-dev-0.6.19-r1.apk
2024-12-14 23:22
268K
restinio-0.6.19-r1.apk
2024-12-14 23:22
1.0K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 23:22
3.4K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 23:22
75K
pebble-le-0.3.0-r2.apk
2024-12-14 23:22
64K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 23:22
2.9M
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 23:22
334K
libsemigroups-2.7.3-r1.apk
2024-12-14 23:22
693K
knxd-dev-0.14.61-r1.apk
2024-12-14 23:22
39K
knxd-0.14.61-r1.apk
2024-12-14 23:22
410K
jwt-cli-6.2.0-r0.apk
2024-12-14 20:05
800K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 05:58
13K
py3-janus-1.2.0-r0.apk
2024-12-13 05:58
12K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 22:37
7.7K
code-minimap-0.6.7-r0.apk
2024-12-12 22:37
346K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 12:09
1.6M
libantlr4-4.13.2-r0.apk
2024-12-12 12:09
425K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 07:32
5.9K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 07:32
18K
openfortivpn-doc-1.22.1-r0.apk
2024-12-12 00:30
6.0K
openfortivpn-1.22.1-r0.apk
2024-12-12 00:30
41K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 18:03
66K
perl-net-async-redis-6.006-r0.apk
2024-12-11 18:03
58K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-11 01:14
21K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-09 01:30
49K
py3-liblarch-3.2.0-r6.apk
2024-12-09 01:30
29K
planner-lang-0.14.92-r1.apk
2024-12-09 01:30
824K
planner-doc-0.14.92-r1.apk
2024-12-09 01:30
1.9K
planner-0.14.92-r1.apk
2024-12-09 01:30
344K
getting-things-gnome-lang-0.6-r4.apk
2024-12-09 01:30
228K
getting-things-gnome-doc-0.6-r4.apk
2024-12-09 01:30
497K
getting-things-gnome-0.6-r4.apk
2024-12-09 01:30
715K
endeavour-lang-43.0-r2.apk
2024-12-09 01:30
203K
endeavour-doc-43.0-r2.apk
2024-12-09 01:30
68K
endeavour-dev-43.0-r2.apk
2024-12-09 01:30
45K
endeavour-43.0-r2.apk
2024-12-09 01:30
189K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 22:55
7.6K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 22:55
12K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 22:14
12K
py3-venusian-3.1.1-r0.apk
2024-12-07 22:14
14K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 21:54
23K
dooit-extras-0.2.0-r0.apk
2024-12-07 21:54
12K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-07 01:51
41K
py3-sqlmodel-0.0.22-r1.apk
2024-12-07 01:51
26K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-07 01:51
13K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-07 01:51
10K
drupal7-doc-7.103-r0.apk
2024-12-04 18:28
57K
drupal7-7.103-r0.apk
2024-12-04 18:28
3.3M
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 18:19
9.2K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 21:14
10K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 21:14
8.4K
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 21:08
3.0M
py3-language-data-1.3.0-r0.apk
2024-12-01 21:08
5.0M
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 18:25
11K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 18:25
12K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 18:09
14K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 18:09
3.2K
tldr-python-client-3.3.0-r0.apk
2024-12-01 18:09
12K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 03:11
28K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 03:11
21K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 22:40
11K
py3-protego-0.3.1-r0.apk
2024-11-30 22:40
9.1K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 22:40
16K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 22:40
16K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 22:40
12K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 22:40
11K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 23:38
46K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 23:38
1.6K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 23:38
25K
treecat-doc-1.0.2_git20240706-r1.apk
2024-11-29 14:20
3.0K
treecat-1.0.2_git20240706-r1.apk
2024-11-29 14:20
131K
mkrundir-0.4.0-r1.apk
2024-11-29 13:38
87K
himitsu-firefox-0.6-r1.apk
2024-11-29 13:38
198K
haredo-doc-1.0.5-r1.apk
2024-11-29 13:38
4.4K
haredo-1.0.5-r1.apk
2024-11-29 13:38
149K
hare-madeline-doc-0.1_git20240505-r1.apk
2024-11-29 13:38
1.9K
hare-madeline-0.1_git20240505-r1.apk
2024-11-29 13:38
24K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-28 00:08
4.0K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-28 00:08
9.0K
git-graph-doc-0.6.0-r0.apk
2024-11-26 00:44
6.0K
git-graph-0.6.0-r0.apk
2024-11-26 00:44
847K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 23:35
30K
py3-livestream-2.1.0-r0.apk
2024-11-25 23:35
766K
atac-0.18.1-r0.apk
2024-11-25 23:11
4.7M
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 21:33
11K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 21:33
333K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 21:33
477K
highctidh-1.0.2024092800-r0.apk
2024-11-25 21:33
323K
qstardict-doc-2.0.2-r1.apk
2024-11-25 10:47
10K
qstardict-2.0.2-r1.apk
2024-11-25 10:47
456K
predict-doc-2.3.1-r0.apk
2024-11-25 10:47
16K
predict-2.3.1-r0.apk
2024-11-25 10:47
89K
paprefs-lang-1.2-r2.apk
2024-11-25 10:47
38K
paprefs-1.2-r2.apk
2024-11-25 10:47
30K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 14:43
312K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 14:43
9.4K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 14:43
12K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 14:43
11K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 14:43
95K
smassh-pyc-3.1.6-r0.apk
2024-11-21 03:57
70K
smassh-3.1.6-r0.apk
2024-11-21 03:57
72K
gufw-pyc-24.04-r3.apk
2024-11-20 01:38
64K
gufw-lang-24.04-r3.apk
2024-11-20 01:38
854K
gufw-doc-24.04-r3.apk
2024-11-20 01:38
4.2K
gufw-24.04-r3.apk
2024-11-20 01:38
596K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 23:16
31K
randrctl-1.10.0-r0.apk
2024-11-17 23:16
28K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 19:01
21K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 19:01
38K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 19:01
16K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 19:01
82K
linuxptp-pmc-4.4-r0.apk
2024-11-17 19:01
39K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 19:01
9.6K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 19:01
38K
linuxptp-nsm-4.4-r0.apk
2024-11-17 19:01
35K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 19:01
4.1K
linuxptp-doc-4.4-r0.apk
2024-11-17 19:01
38K
linuxptp-4.4-r0.apk
2024-11-17 19:01
1.0K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 13:51
6.7K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 13:51
13K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 06:00
121K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 06:00
47K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 16:09
4.6K
perl-test-utf8-1.03-r0.apk
2024-11-16 16:09
5.3K
linux-spacemit-doc-6.6.53-r1.apk
2024-11-16 08:53
12M
linux-spacemit-dev-6.6.53-r1.apk
2024-11-16 08:53
14M
linux-spacemit-6.6.53-r1.apk
2024-11-16 08:53
21M
py3-mss-10.0.0-r0.apk
2024-11-14 13:57
50K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 20:03
23K
py3-minidb-2.0.8-r0.apk
2024-11-13 20:03
9.8K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 08:36
33K
py3-pltable-1.1.0-r1.apk
2024-11-13 08:36
18K
contractor-0.3.5-r0.apk
2024-11-12 23:47
26K
appcenter-lang-8.0.0-r0.apk
2024-11-12 23:47
258K
appcenter-8.0.0-r0.apk
2024-11-12 23:47
397K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 17:00
13K
crun-vm-0.3.0-r0.apk
2024-11-12 17:00
1.0M
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-12 05:08
40K
py3-pyvcd-0.4.1-r0.apk
2024-11-12 05:08
22K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 02:55
229K
elementary-sound-theme-1.1.0-r0.apk
2024-11-11 00:20
83K
neofetch-doc-7.1.0-r2.apk
2024-11-06 22:50
5.9K
neofetch-7.1.0-r2.apk
2024-11-06 22:50
85K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 11:08
68K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 11:08
51K
py3-pyglm-2.7.3-r0.apk
2024-11-06 11:08
1.4M
plots-0.7.0-r1.apk
2024-11-06 11:08
515K
e16-lang-1.0.30-r0.apk
2024-11-05 14:42
379K
e16-doc-1.0.30-r0.apk
2024-11-05 14:42
27K
e16-1.0.30-r0.apk
2024-11-05 14:42
788K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 13:53
38K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 13:28
19K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 13:28
9.8K
avara-0.7.1-r1.apk
2024-11-04 09:53
21M
cproc-doc-0_git20240427-r1.apk
2024-11-03 23:23
2.6K
cproc-dbg-0_git20240427-r1.apk
2024-11-03 23:23
119K
cproc-0_git20240427-r1.apk
2024-11-03 23:23
54K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 22:58
2.0K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 22:58
1.6K
flawz-doc-0.3.0-r0.apk
2024-11-03 22:58
5.7K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 22:58
1.9K
flawz-0.3.0-r0.apk
2024-11-03 22:58
1.2M
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 16:25
55K
py3-sh-2.1.0-r0.apk
2024-11-01 16:25
38K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 23:46
1.9K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 23:46
3.1K
libideviceactivation-1.1.1-r5.apk
2024-10-30 23:46
16K
ifuse-doc-1.1.4-r5.apk
2024-10-30 23:46
2.1K
ifuse-1.1.4-r5.apk
2024-10-30 23:46
9.3K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 23:46
2.2K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 23:46
13K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 07:03
9.2K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 07:03
7.6K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 23:07
47K
elementary-music-8.0.0-r0.apk
2024-10-28 23:07
72K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 22:52
23K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 22:52
13K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 13:47
53K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-24 19:28
24K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-24 19:28
12K
cdba-server-1.0-r2.apk
2024-10-23 23:15
22K
cdba-1.0-r2.apk
2024-10-23 23:15
7.5K
fbcur-doc-1.0.1-r1.apk
2024-10-23 15:31
1.9K
fbcur-1.0.1-r1.apk
2024-10-23 15:31
4.1K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-22 23:54
24K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-22 23:54
2.0K
py3-rst2ansi-0.1.5-r0.apk
2024-10-22 23:54
12K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-22 01:31
560K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-22 01:31
286K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-22 01:03
69K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-22 01:03
45K
ocfs2-tools-1.8.7-r4.apk
2024-10-22 01:03
1.1M
oxygen-icons-6.1.0-r0.apk
2024-10-21 14:43
32M
py3-bleak-0.22.3-r0.apk
2024-10-21 04:46
370K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-20 01:08
2.5K
py3-pytest-home-0.6.0-r0.apk
2024-10-20 01:08
4.3K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-18 09:36
13K
rustic-fish-completion-0.9.3-r0.apk
2024-10-18 09:36
16K
rustic-bash-completion-0.9.3-r0.apk
2024-10-18 09:36
8.4K
rustic-0.9.3-r0.apk
2024-10-18 09:36
5.9M
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-18 09:36
17K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-18 09:36
2.0K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-18 09:36
13K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-17 21:57
810K
pyradio-doc-0.9.3.11-r0.apk
2024-10-17 21:57
112K
pyradio-0.9.3.11-r0.apk
2024-10-17 21:57
871K
scap-workbench-doc-1.2.1-r3.apk
2024-10-17 15:56
1.6M
scap-workbench-1.2.1-r3.apk
2024-10-17 15:56
235K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-17 15:56
9.3K
py3-jaraco.path-3.7.2-r0.apk
2024-10-17 15:56
7.4K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-17 15:56
21K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-17 15:56
712K
autotrash-pyc-0.4.7-r0.apk
2024-10-15 23:24
14K
autotrash-0.4.7-r0.apk
2024-10-15 23:24
23K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-15 23:15
15K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-15 23:15
10K
aufs-util-doc-20161219-r3.apk
2024-10-15 15:05
34K
aufs-util-dev-20161219-r3.apk
2024-10-15 15:05
1.2K
aufs-util-20161219-r3.apk
2024-10-15 15:05
225K
perl-full-doc-1.004-r0.apk
2024-10-15 12:04
10K
perl-full-1.004-r0.apk
2024-10-15 12:04
6.9K
policycoreutils-lang-3.6-r1.apk
2024-10-15 10:41
105K
policycoreutils-doc-3.6-r1.apk
2024-10-15 10:41
22K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-15 10:41
2.2K
policycoreutils-3.6-r1.apk
2024-10-15 10:41
53K
brial-dev-1.2.11-r4.apk
2024-10-13 23:46
2.3M
brial-1.2.11-r4.apk
2024-10-13 23:46
1.0M
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-13 20:32
12K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-13 20:32
2.3K
tcmu-runner-1.6.0-r6.apk
2024-10-13 20:32
83K
libtcmu-dev-1.6.0-r6.apk
2024-10-13 20:32
1.3K
libtcmu-1.6.0-r6.apk
2024-10-13 20:32
37K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-13 15:12
6.5K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-13 15:12
1.9K
py3-uacme-desec-1.2.1-r0.apk
2024-10-13 15:12
5.4K
bgpq4-doc-1.15-r0.apk
2024-10-13 13:50
6.1K
bgpq4-1.15-r0.apk
2024-10-13 13:50
33K
xmag-doc-1.0.8-r0.apk
2024-10-13 09:33
4.5K
xmag-1.0.8-r0.apk
2024-10-13 09:33
16K
bchunk-doc-1.2.2-r3.apk
2024-10-13 06:40
2.7K
bchunk-1.2.2-r3.apk
2024-10-13 06:40
6.8K
android-apkeep-0.17.0-r0.apk
2024-10-12 08:29
1.8M
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-08 05:30
2.0K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-08 05:30
6.6K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-08 05:30
2.6K
dublin-traceroute-0.4.2-r4.apk
2024-10-08 05:30
46K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-06 14:00
9.4K
py3-mnemonic-doc-0.21-r0.apk
2024-10-06 14:00
2.1K
py3-mnemonic-0.21-r0.apk
2024-10-06 14:00
95K
boxes-doc-2.3.1-r0.apk
2024-10-06 00:45
6.9K
boxes-2.3.1-r0.apk
2024-10-06 00:45
78K
esptool-pyc-4.8.1-r0.apk
2024-10-05 14:52
549K
esptool-4.8.1-r0.apk
2024-10-05 14:52
424K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-05 09:31
4.4K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-05 09:31
680K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-05 09:31
187K
spread-sheet-widget-0.10-r0.apk
2024-10-05 09:31
45K
dwl-doc-0.7-r0.apk
2024-10-05 09:31
2.9K
dwl-0.7-r0.apk
2024-10-05 09:31
27K
uucp-doc-1.07-r6.apk
2024-10-04 06:34
118K
uucp-1.07-r6.apk
2024-10-04 06:34
494K
razercfg-pyc-0.42-r7.apk
2024-10-03 16:27
35K
razercfg-openrc-0.42-r7.apk
2024-10-03 16:27
1.5K
razercfg-gui-0.42-r7.apk
2024-10-03 16:27
19K
razercfg-0.42-r7.apk
2024-10-03 16:27
79K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-03 09:22
9.5K
py3-pycaption-2.2.15-r0.apk
2024-10-03 07:33
360K
qflipper-gui-1.3.3-r1.apk
2024-10-02 20:19
1.1M
qflipper-1.3.3-r1.apk
2024-10-02 20:19
486K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-02 20:19
3.1K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-02 20:19
4.5K
9base-troff-6-r2.apk
2024-10-02 09:08
501K
9base-doc-6-r2.apk
2024-10-02 09:08
63K
9base-6-r2.apk
2024-10-02 09:08
2.2M
xva-img-1.5-r0.apk
2024-10-02 08:03
16K
swhkd-doc-1.2.1-r0.apk
2024-10-02 00:19
5.9K
swhkd-1.2.1-r0.apk
2024-10-02 00:19
1.0M
libretro-daphne-0_git20210108-r2.apk
2024-10-01 07:41
655K
xsane-lang-0.999-r2.apk
2024-10-01 07:24
440K
xsane-doc-0.999-r2.apk
2024-10-01 07:24
4.0K
xsane-0.999-r2.apk
2024-10-01 07:24
1.5M
apt-dater-lang-1.0.4-r4.apk
2024-10-01 07:21
13K
apt-dater-doc-1.0.4-r4.apk
2024-10-01 07:21
9.6K
apt-dater-1.0.4-r4.apk
2024-10-01 07:21
57K
wol-lang-0.7.1-r3.apk
2024-09-30 23:52
7.9K
wol-doc-0.7.1-r3.apk
2024-09-30 23:52
5.3K
wol-0.7.1-r3.apk
2024-09-30 23:52
25K
wmi-client-1.3.16-r5.apk
2024-09-30 21:13
2.4M
libwmiclient-dev-1.3.16-r5.apk
2024-09-30 21:13
1.4K
libwmiclient-1.3.16-r5.apk
2024-09-30 21:13
1.2K
py3-spake2-pyc-0.9-r0.apk
2024-09-30 17:25
43K
py3-spake2-0.9-r0.apk
2024-09-30 17:25
30K
clipit-doc-1.4.5-r3.apk
2024-09-30 16:20
2.1K
clipit-1.4.5-r3.apk
2024-09-30 16:20
65K
lua5.2-xml-1.1.3-r2.apk
2024-09-30 15:03
23K
lua5.1-xml-1.1.3-r2.apk
2024-09-30 15:03
23K
lua-xml-1.1.3-r2.apk
2024-09-30 15:03
1.2K
wch-isp-udev-rules-0.4.1-r2.apk
2024-09-30 14:42
1.4K
wch-isp-doc-0.4.1-r2.apk
2024-09-30 14:42
2.4K
wch-isp-0.4.1-r2.apk
2024-09-30 14:42
10K
py3-pyatem-pyc-0.5.0-r4.apk
2024-09-30 14:42
91K
py3-pyatem-0.5.0-r4.apk
2024-09-30 14:42
52K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-09-30 14:42
1.8K
openswitcher-proxy-0.5.0-r4.apk
2024-09-30 14:42
9.1K
openswitcher-0.5.0-r4.apk
2024-09-30 14:42
148K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-09-30 14:42
3.0K
openocd-riscv-doc-0_git20230104-r2.apk
2024-09-30 14:42
3.0K
openocd-riscv-dev-0_git20230104-r2.apk
2024-09-30 14:42
3.5K
openocd-riscv-0_git20230104-r2.apk
2024-09-30 14:42
1.5M
libsigrok-dev-0.5.2-r3.apk
2024-09-30 14:42
30K
libsigrok-0.5.2-r3.apk
2024-09-30 14:42
498K
icesprog-udev-0_git20240108-r1.apk
2024-09-30 14:42
1.6K
icesprog-0_git20240108-r1.apk
2024-09-30 14:42
9.2K
fusee-nano-udev-0.5.3-r1.apk
2024-09-30 14:42
1.4K
fusee-nano-0.5.3-r1.apk
2024-09-30 14:42
20K
fildesh-vim-0.2.0-r0.apk
2024-09-30 14:42
3.3K
fildesh-doc-0.2.0-r0.apk
2024-09-30 14:42
1.8K
fildesh-0.2.0-r0.apk
2024-09-30 14:42
68K
goomwwm-1.0.0-r5.apk
2024-09-30 10:08
47K
ledmon-doc-1.0.0-r0.apk
2024-09-30 07:39
14K
ledmon-1.0.0-r0.apk
2024-09-30 07:39
82K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-09-30 04:20
124K
rmlint-shredder-2.10.2-r2.apk
2024-09-30 04:20
96K
rmlint-lang-2.10.2-r2.apk
2024-09-30 04:20
19K
rmlint-doc-2.10.2-r2.apk
2024-09-30 04:20
18K
rmlint-2.10.2-r2.apk
2024-09-30 04:20
139K
rofi-json-menu-0.2.0-r1.apk
2024-09-30 03:50
4.9K
zile-doc-2.6.2-r1.apk
2024-09-30 00:44
16K
zile-2.6.2-r1.apk
2024-09-30 00:44
118K
ubase-doc-20200605-r3.apk
2024-09-29 17:31
21K
ubase-20200605-r3.apk
2024-09-29 17:31
43K
shc-4.0.3-r2.apk
2024-09-29 17:31
19K
sbase-doc-0_git20210730-r3.apk
2024-09-29 17:31
58K
sbase-0_git20210730-r3.apk
2024-09-29 17:31
121K
epoch-1.3.0-r2.apk
2024-09-29 17:31
60K
blackbox-1.20220610-r1.apk
2024-09-29 17:31
15K
bees-openrc-0.10-r2.apk
2024-09-29 17:31
1.7K
bees-0.10-r2.apk
2024-09-29 17:31
294K
opkg-utils-doc-0.7.0-r0.apk
2024-09-29 03:59
3.4K
opkg-utils-0.7.0-r0.apk
2024-09-29 03:59
25K
opkg-libs-0.7.0-r0.apk
2024-09-29 03:59
79K
opkg-doc-0.7.0-r0.apk
2024-09-29 03:59
7.4K
opkg-dev-0.7.0-r0.apk
2024-09-29 03:59
196K
opkg-0.7.0-r0.apk
2024-09-29 03:59
9.7K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-09-28 17:58
47K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-09-28 17:58
101K
libsemanage-doc-3.6-r1.apk
2024-09-28 17:48
23K
libsemanage-dev-3.6-r1.apk
2024-09-28 17:48
243K
libsemanage-3.6-r1.apk
2024-09-28 17:48
94K
font-monaspace-xenon-1.101-r0.apk
2024-09-28 14:08
2.3M
font-monaspace-radon-1.101-r0.apk
2024-09-28 14:08
2.7M
font-monaspace-neon-1.101-r0.apk
2024-09-28 14:08
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-09-28 14:08
2.1M
font-monaspace-argon-1.101-r0.apk
2024-09-28 14:08
2.2M
font-monaspace-1.101-r0.apk
2024-09-28 14:08
1.2K
libfoma-0.10.0_git20240712-r0.apk
2024-09-28 05:57
102K
foma-dev-0.10.0_git20240712-r0.apk
2024-09-28 05:57
8.2K
foma-0.10.0_git20240712-r0.apk
2024-09-28 05:57
326K
vidcutter-pyc-6.0.5.3-r0.apk
2024-09-27 23:11
1.9M
vidcutter-doc-6.0.5.3-r0.apk
2024-09-27 23:11
24K
vidcutter-6.0.5.3-r0.apk
2024-09-27 23:11
2.8M
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-09-27 10:22
37K
py3-pure_protobuf-3.1.2-r0.apk
2024-09-27 10:22
21K
watchdog-doc-5.16-r2.apk
2024-09-27 08:10
14K
watchdog-5.16-r2.apk
2024-09-27 08:10
43K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-09-27 08:09
35K
py3-pillow_heif-0.18.0-r0.apk
2024-09-27 08:09
43K
olsrd-plugins-0.9.8-r3.apk
2024-09-27 04:03
181K
olsrd-openrc-0.9.8-r3.apk
2024-09-27 04:03
1.6K
olsrd-doc-0.9.8-r3.apk
2024-09-27 04:03
25K
olsrd-0.9.8-r3.apk
2024-09-27 04:03
167K
libretro-snes9x-0_git20240819-r0.apk
2024-09-27 02:36
815K
libretro-atari800-0_git20240924-r0.apk
2024-09-27 02:36
281K
libretro-mame2003-0_git20240904-r0.apk
2024-09-27 02:33
7.8M
libmdf-dev-1.0.29-r0.apk
2024-09-26 23:32
14K
libmdf-1.0.29-r0.apk
2024-09-26 23:32
34K
php81-pecl-msgpack-3.0.0-r0.apk
2024-09-26 13:25
27K
hyx-doc-2024.02.29-r0.apk
2024-09-26 13:19
2.0K
hyx-2024.02.29-r0.apk
2024-09-26 13:19
17K
daemontools-openrc-0.76-r3.apk
2024-09-26 13:04
1.7K
daemontools-0.76-r3.apk
2024-09-26 13:04
65K
py3-cssutils-pyc-2.11.1-r1.apk
2024-09-26 05:09
278K
py3-cssutils-2.11.1-r1.apk
2024-09-26 05:09
155K
watchbind-doc-0.2.1-r1.apk
2024-09-26 01:29
6.3K
watchbind-0.2.1-r1.apk
2024-09-26 01:29
1.1M
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-09-25 19:32
9.1K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-09-25 19:32
14K
sipgrep-2.2.0-r1.apk
2024-09-25 16:50
25K
sblim-sfcc-doc-2.2.8-r3.apk
2024-09-25 16:50
34K
sblim-sfcc-dev-2.2.8-r3.apk
2024-09-25 16:50
22K
sblim-sfcc-2.2.8-r3.apk
2024-09-25 16:50
54K
py3-us-pyc-3.2.0-r0.apk
2024-09-24 23:56
15K
py3-us-3.2.0-r0.apk
2024-09-24 23:56
14K
gatling-openrc-0.16-r6.apk
2024-09-24 20:24
2.5K
gatling-doc-0.16-r6.apk
2024-09-24 20:24
8.8K
gatling-0.16-r6.apk
2024-09-24 20:24
143K
pamtester-doc-0.1.2-r4.apk
2024-09-24 11:50
2.6K
pamtester-0.1.2-r4.apk
2024-09-24 11:50
8.7K
libretro-bluemsx-0_git20240808-r0.apk
2024-09-24 00:18
652K
libretro-gme-0_git20240628-r0.apk
2024-09-23 23:59
193K
libretro-mame2000-0_git20240701-r0.apk
2024-09-23 23:59
3.2M
p0f-doc-3.09b-r3.apk
2024-09-23 03:50
25K
p0f-3.09b-r3.apk
2024-09-23 03:50
79K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-09-23 01:16
54K
autoremove-torrents-doc-1.5.5-r0.apk
2024-09-23 01:16
11K
autoremove-torrents-1.5.5-r0.apk
2024-09-23 01:16
35K
py3-redmine-pyc-2.5.0-r0.apk
2024-09-23 00:04
53K
py3-redmine-2.5.0-r0.apk
2024-09-23 00:04
37K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-09-23 00:04
95K
py3-flask-peewee-3.0.6-r0.apk
2024-09-23 00:04
172K
py3-dpath-pyc-2.2.0-r0.apk
2024-09-23 00:04
18K
py3-dpath-2.2.0-r0.apk
2024-09-23 00:04
17K
avarice-doc-2.14-r4.apk
2024-09-22 21:58
9.2K
avarice-2.14-r4.apk
2024-09-22 21:58
98K
percona-toolkit-doc-3.5.4-r1.apk
2024-09-22 11:39
297K
percona-toolkit-3.5.4-r1.apk
2024-09-22 11:39
1.8M
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-09-21 02:25
236K
py3-tpm2-pytss-2.3.0-r1.apk
2024-09-21 02:25
296K
py3-transitions-pyc-0.9.2-r0.apk
2024-09-20 02:04
129K
py3-transitions-0.9.2-r0.apk
2024-09-20 02:04
97K
py3-python-stdnum-pyc-1.20-r0.apk
2024-09-20 02:00
293K
py3-python-stdnum-1.20-r0.apk
2024-09-20 02:00
805K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-09-19 23:34
13K
py3-pep8-naming-0.14.1-r0.apk
2024-09-19 23:34
9.5K
php83-pecl-uv-0.3.0-r0.apk
2024-09-18 20:28
53K
turnstile-openrc-0.1.10-r3.apk
2024-09-16 23:06
1.5K
turnstile-doc-0.1.10-r3.apk
2024-09-16 23:06
5.4K
turnstile-0.1.10-r3.apk
2024-09-16 23:06
37K
libnih-doc-1.0.3-r7.apk
2024-09-16 17:26
2.4K
libnih-dev-1.0.3-r7.apk
2024-09-16 17:26
179K
libnih-1.0.3-r7.apk
2024-09-16 17:26
108K
tere-doc-1.6.0-r0.apk
2024-09-16 13:50
14K
tere-1.6.0-r0.apk
2024-09-16 13:50
1.0M
dulcepan-1.0.2-r0.apk
2024-09-16 13:50
21K
ace-of-penguins-doc-1.4-r3.apk
2024-09-15 06:41
48K
ace-of-penguins-1.4-r3.apk
2024-09-15 06:41
160K
py3-pacparser-pyc-1.4.5-r1.apk
2024-09-14 20:10
3.8K
py3-pacparser-1.4.5-r1.apk
2024-09-14 20:10
409K
pacparser-doc-1.4.5-r1.apk
2024-09-14 20:10
17K
pacparser-dev-1.4.5-r1.apk
2024-09-14 20:10
3.3K
pacparser-1.4.5-r1.apk
2024-09-14 20:10
762K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-09-12 23:31
3.1K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-09-12 23:31
7.8K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-09-12 23:31
103K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-09-12 23:31
47K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-09-12 23:31
91K
py3-ovos-backend-client-1.0.0-r0.apk
2024-09-12 23:31
46K
py3-freetype-py-2.5.1-r0.apk
2024-09-11 00:00
161K
py3-print-color-pyc-0.4.6-r0.apk
2024-09-09 14:41
4.7K
py3-print-color-doc-0.4.6-r0.apk
2024-09-09 14:41
2.4K
py3-print-color-0.4.6-r0.apk
2024-09-09 14:41
8.6K
pam_sqlite3-1.0.2-r2.apk
2024-09-09 13:49
8.4K
pam-krb5-doc-4.11-r1.apk
2024-09-09 13:49
23K
pam-krb5-4.11-r1.apk
2024-09-09 13:49
22K
startup-tools-2.0.3-r5.apk
2024-09-09 12:26
13K
startup-lang-2.0.3-r5.apk
2024-09-09 12:26
16K
startup-fish-completion-2.0.3-r5.apk
2024-09-09 12:26
5.1K
startup-doc-2.0.3-r5.apk
2024-09-09 12:26
47K
startup-dev-2.0.3-r5.apk
2024-09-09 12:26
5.6K
startup-bridge-udev-2.0.3-r5.apk
2024-09-09 12:26
32K
startup-bridge-dconf-2.0.3-r5.apk
2024-09-09 12:26
32K
startup-2.0.3-r5.apk
2024-09-09 12:26
435K
libupstart-2.0.3-r5.apk
2024-09-09 12:26
58K
edward-doc-1.1.0-r0.apk
2024-09-04 20:45
5.0K
edward-1.1.0-r0.apk
2024-09-04 20:45
1.9M
limnoria-pyc-20240828-r0.apk
2024-09-04 18:13
1.2M
limnoria-doc-20240828-r0.apk
2024-09-04 18:13
8.1K
limnoria-20240828-r0.apk
2024-09-04 18:13
1.1M
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-09-02 22:37
14K
py3-pyscreeze-0.1.29-r3.apk
2024-09-02 22:37
14K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-09-02 22:37
45K
py3-pyautogui-0.9.53-r5.apk
2024-09-02 22:37
35K
ydcv-zsh-completion-0.7-r8.apk
2024-09-02 22:36
1.9K
ydcv-pyc-0.7-r8.apk
2024-09-02 22:36
10K
ydcv-0.7-r8.apk
2024-09-02 22:36
20K
py3-nptyping-pyc-2.5.0-r3.apk
2024-09-02 22:36
32K
py3-nptyping-2.5.0-r3.apk
2024-09-02 22:36
21K
py3-ncclient-pyc-0.6.13-r5.apk
2024-09-02 22:36
106K
py3-ncclient-0.6.13-r5.apk
2024-09-02 22:36
68K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-09-02 22:36
102K
py3-catkin-pkg-0.5.2-r4.apk
2024-09-02 22:36
57K
rosdep-pyc-0.19.0-r6.apk
2024-09-02 22:34
119K
rosdep-0.19.0-r6.apk
2024-09-02 22:34
66K
gtkwave-doc-3.3.120-r0.apk
2024-09-01 19:05
27K
gtkwave-3.3.120-r0.apk
2024-09-01 19:05
2.6M
tui-journal-doc-0.10.0-r0.apk
2024-09-01 19:02
6.6K
tui-journal-0.10.0-r0.apk
2024-09-01 19:02
1.9M
libcyaml-static-1.4.2-r0.apk
2024-08-31 23:49
43K
libcyaml-doc-1.4.2-r0.apk
2024-08-31 23:49
8.4K
libcyaml-dev-1.4.2-r0.apk
2024-08-31 23:49
13K
libcyaml-1.4.2-r0.apk
2024-08-31 23:49
20K
goxel-0.15.1-r0.apk
2024-08-31 18:53
1.7M
thefuck-pyc-3.32-r5.apk
2024-08-31 17:07
155K
thefuck-3.32-r5.apk
2024-08-31 17:07
83K
crossplane-pyc-0.5.8-r3.apk
2024-08-31 17:07
39K
crossplane-0.5.8-r3.apk
2024-08-31 17:07
30K
postgresql-pgmq-1.1.1-r1.apk
2024-08-31 17:05
256K
postgresql-pg_later-0.0.14-r1.apk
2024-08-31 17:05
614K
halp-zsh-completion-0.2.0-r0.apk
2024-08-31 17:05
2.1K
halp-fish-completion-0.2.0-r0.apk
2024-08-31 17:05
1.7K
halp-doc-0.2.0-r0.apk
2024-08-31 17:05
6.6K
halp-bash-completion-0.2.0-r0.apk
2024-08-31 17:05
1.9K
halp-0.2.0-r0.apk
2024-08-31 17:05
874K
openapi-tui-0.9.4-r1.apk
2024-08-31 13:06
3.8M
remind-caldav-pyc-0.8.0-r4.apk
2024-08-30 23:44
5.9K
remind-caldav-0.8.0-r4.apk
2024-08-30 23:44
17K
py3-urlobject-pyc-2.4.3-r9.apk
2024-08-30 23:44
24K
py3-urlobject-2.4.3-r9.apk
2024-08-30 23:44
14K
py3-sstash-pyc-0.17-r9.apk
2024-08-30 23:44
10K
py3-sstash-0.17-r9.apk
2024-08-30 23:44
7.5K
py3-spinners-pyc-0.0.24-r5.apk
2024-08-30 23:44
6.0K
py3-spinners-0.0.24-r5.apk
2024-08-30 23:44
5.8K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-08-30 23:44
7.4K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-08-30 23:44
7.6K
py3-radon-pyc-6.0.1-r2.apk
2024-08-30 23:44
49K
py3-radon-doc-6.0.1-r2.apk
2024-08-30 23:44
4.9K
py3-radon-6.0.1-r2.apk
2024-08-30 23:44
31K
py3-python-archive-pyc-0.2-r7.apk
2024-08-30 23:44
9.1K
py3-python-archive-0.2-r7.apk
2024-08-30 23:44
7.1K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-08-30 23:44
6.7K
py3-pytest-expect-1.1.0-r10.apk
2024-08-30 23:44
5.6K
py3-pymata4-pyc-1.15-r4.apk
2024-08-30 23:44
31K
py3-pymata4-1.15-r4.apk
2024-08-30 23:44
23K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-08-30 23:44
31K
py3-pymaging-0.0.20130908-r10.apk
2024-08-30 23:44
18K
py3-ntplib-pyc-0.4.0-r5.apk
2024-08-30 23:44
8.4K
py3-ntplib-0.4.0-r5.apk
2024-08-30 23:44
7.1K
py3-intervals-pyc-0.9.2-r5.apk
2024-08-30 23:44
15K
py3-intervals-0.9.2-r5.apk
2024-08-30 23:44
9.2K
py3-iniparse-pyc-0.5-r7.apk
2024-08-30 23:44
24K
py3-iniparse-doc-0.5-r7.apk
2024-08-30 23:44
10K
py3-iniparse-0.5-r7.apk
2024-08-30 23:44
18K
py3-infinity-pyc-1.5-r6.apk
2024-08-30 23:44
3.5K
py3-infinity-1.5-r6.apk
2024-08-30 23:44
4.1K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-08-30 23:44
30K
py3-gevent-websocket-0.10.1-r8.apk
2024-08-30 23:44
19K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-08-30 23:44
3.8K
py3-flask-cdn-1.5.3-r8.apk
2024-08-30 23:44
4.5K
py3-euclid3-pyc-0.01-r8.apk
2024-08-30 23:44
32K
py3-euclid3-0.01-r8.apk
2024-08-30 23:44
14K
py3-eradicate-pyc-2.3.0-r2.apk
2024-08-30 23:44
8.1K
py3-eradicate-doc-2.3.0-r2.apk
2024-08-30 23:44
2.3K
py3-eradicate-2.3.0-r2.apk
2024-08-30 23:44
7.3K
py3-doit-pyc-0.36.0-r5.apk
2024-08-30 23:44
133K
py3-doit-0.36.0-r5.apk
2024-08-30 23:44
76K
py3-cobs-pyc-1.2.0-r4.apk
2024-08-30 23:44
12K
py3-cobs-1.2.0-r4.apk
2024-08-30 23:44
16K
py3-cchardet-pyc-2.1.7-r5.apk
2024-08-30 23:44
2.8K
py3-cchardet-2.1.7-r5.apk
2024-08-30 23:44
124K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-08-30 23:44
24K
py3-aiosasl-doc-0.5.0-r4.apk
2024-08-30 23:44
16K
py3-aiosasl-0.5.0-r4.apk
2024-08-30 23:44
29K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-08-30 23:33
19K
py3-deluge-client-doc-1.10.2-r0.apk
2024-08-30 23:33
2.0K
py3-deluge-client-1.10.2-r0.apk
2024-08-30 23:33
13K
u1db-qt-0.1.8-r0.apk
2024-08-29 12:07
97K
so-0.4.10-r0.apk
2024-08-29 12:07
2.0M
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-08-29 12:07
22K
py3-sphinx-argparse-0.5.2-r0.apk
2024-08-29 12:07
14K
py3-markdown2-pyc-2.5.0-r0.apk
2024-08-29 12:07
75K
py3-markdown2-2.5.0-r0.apk
2024-08-29 12:07
47K
perl-statistics-basic-doc-1.6611-r0.apk
2024-08-29 12:07
50K
perl-statistics-basic-1.6611-r0.apk
2024-08-29 12:07
9.0K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-08-29 12:07
147K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-08-29 12:07
78K
cimg-3.4.1-r0.apk
2024-08-29 12:07
826K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-08-18 15:41
2.0K
tree-sitter-pascal-0.9.1-r0.apk
2024-08-18 15:41
83K
openapi-validator-1.19.2-r0.apk
2024-08-16 03:55
9.9M
font-monocraft-4.0-r0.apk
2024-08-16 03:55
676K
cc65-2.19-r0.apk
2024-08-16 03:55
8.9M
py3-m2crypto-pyc-0.41.0-r2.apk
2024-08-10 00:31
122K
py3-m2crypto-0.41.0-r2.apk
2024-08-10 00:31
199K
psst-0_git20240526-r1.apk
2024-08-08 23:13
7.2M
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-08-08 20:23
220K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-08-08 20:23
1.9K
syncthing-gtk-0.9.4.5-r2.apk
2024-08-08 20:23
440K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-08-08 20:23
6.1K
py3-ticket-auth-0.1.4-r9.apk
2024-08-08 20:23
5.7K
py3-rst-pyc-0.1-r9.apk
2024-08-08 20:23
5.9K
py3-rst-0.1-r9.apk
2024-08-08 20:23
5.3K
py3-qasync-0.19.0-r2.apk
2024-08-08 20:23
37K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-08-08 20:23
242K
py3-imdbpy-2021.4.18-r5.apk
2024-08-08 20:23
229K
py3-geoip-1.3.2-r4.apk
2024-08-08 20:23
22K
py3-empy-pyc-3.3.4-r7.apk
2024-08-08 20:23
58K
py3-empy-3.3.4-r7.apk
2024-08-08 20:23
39K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-08-08 20:23
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-08-08 20:23
14K
py3-wifi-pyc-0.3.8-r7.apk
2024-08-08 20:19
13K
py3-wifi-0.3.8-r7.apk
2024-08-08 20:19
13K
py3-utc-pyc-0.0.3-r9.apk
2024-08-08 20:19
2.5K
py3-utc-0.0.3-r9.apk
2024-08-08 20:19
3.2K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-08-08 20:19
14K
py3-sortedcollections-2.1.0-r5.apk
2024-08-08 20:19
10K
py3-qdldl-0.1.5-r4.apk
2024-08-08 20:19
90K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-08-08 20:19
31K
py3-pymeta3-0.5.1-r6.apk
2024-08-08 20:19
16K
py3-pymata-pyc-2.20-r4.apk
2024-08-08 20:19
29K
py3-pymata-2.20-r4.apk
2024-08-08 20:19
22K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-08-08 20:19
6.7K
py3-flask-themer-2.0.0-r2.apk
2024-08-08 20:19
7.6K
py3-firmata-pyc-1.0.3-r10.apk
2024-08-08 20:19
21K
py3-firmata-1.0.3-r10.apk
2024-08-08 20:19
14K
py3-distorm3-pyc-3.5.2-r6.apk
2024-08-08 20:19
48K
py3-distorm3-3.5.2-r6.apk
2024-08-08 20:19
47K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-08-08 20:19
673K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-08-08 20:19
18K
py3-aioxmpp-0.13.3-r3.apk
2024-08-08 20:19
387K
py3-click-threading-pyc-0.5.0-r5.apk
2024-08-08 20:13
7.6K
py3-click-threading-0.5.0-r5.apk
2024-08-08 20:13
6.1K
py3-vatnumber-pyc-1.2-r9.apk
2024-08-07 17:51
8.4K
py3-vatnumber-1.2-r9.apk
2024-08-07 17:51
18K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-08-07 17:51
24K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-08-07 17:51
16K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-08-07 17:51
7.8K
py3-simplespectral-1.0.0-r5.apk
2024-08-07 17:51
7.2K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-08-07 17:51
10K
py3-riotctrl-0.5.0-r4.apk
2024-08-07 17:51
13K
py3-qt.py-pyc-1.3.10-r1.apk
2024-08-07 17:51
24K
py3-qt.py-1.3.10-r1.apk
2024-08-07 17:51
32K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-08-07 17:51
24K
py3-pytest-subprocess-1.5.2-r0.apk
2024-08-07 17:51
19K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-08-07 17:51
9.6K
py3-pymsgbox-1.0.9-r5.apk
2024-08-07 17:51
8.9K
py3-pygfm-pyc-2.0.0-r2.apk
2024-08-07 17:51
13K
py3-pygfm-2.0.0-r2.apk
2024-08-07 17:51
12K
py3-pycosat-0.6.6-r2.apk
2024-08-07 17:51
46K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-08-07 17:51
25K
py3-pockethernet-0.7.0-r4.apk
2024-08-07 17:51
15K
py3-phpserialize-pyc-1.3-r8.apk
2024-08-07 17:51
10K
py3-phpserialize-1.3-r8.apk
2024-08-07 17:51
8.6K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-08-07 17:51
42K
py3-openwisp-utils-1.0.4-r4.apk
2024-08-07 17:51
497K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-08-07 17:51
9.7K
py3-nose-timer-1.0.1-r6.apk
2024-08-07 17:51
9.1K
py3-nmap-pyc-0.7.1-r4.apk
2024-08-07 17:51
25K
py3-nmap-0.7.1-r4.apk
2024-08-07 17:51
20K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-08-07 17:51
33K
py3-libmdbx-0.10.2-r7.apk
2024-08-07 17:51
28K
py3-lib_users-pyc-0.15-r4.apk
2024-08-07 17:51
9.3K
py3-lib_users-0.15-r4.apk
2024-08-07 17:51
15K
py3-googletrans-pyc-3.0.0-r5.apk
2024-08-07 17:51
17K
py3-googletrans-3.0.0-r5.apk
2024-08-07 17:51
15K
py3-ecos-pyc-2.0.11-r4.apk
2024-08-07 17:51
3.4K
py3-ecos-2.0.11-r4.apk
2024-08-07 17:51
27K
py3-compdb-pyc-0.2.0-r8.apk
2024-08-07 17:51
39K
py3-compdb-doc-0.2.0-r8.apk
2024-08-07 17:51
2.8K
py3-compdb-0.2.0-r8.apk
2024-08-07 17:51
23K
py3-blockchain-pyc-1.4.4-r7.apk
2024-08-07 17:51
18K
py3-blockchain-1.4.4-r7.apk
2024-08-07 17:51
11K
walk-sor-doc-0_git20190920-r1.apk
2024-08-07 03:51
7.5K
walk-sor-0_git20190920-r1.apk
2024-08-07 03:51
4.9K
urlwatch-pyc-2.28-r2.apk
2024-08-07 03:51
101K
urlwatch-doc-2.28-r2.apk
2024-08-07 03:51
33K
urlwatch-2.28-r2.apk
2024-08-07 03:51
48K
quakespasm-0.96.3-r0.apk
2024-08-07 03:50
503K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-08-07 03:50
62K
qspectrumanalyzer-2.2.0-r5.apk
2024-08-07 03:50
54K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-08-07 03:50
61K
py3-zope-schema-7.0.1-r3.apk
2024-08-07 03:50
45K
py3-visitor-pyc-0.1.3-r7.apk
2024-08-07 03:50
2.4K
py3-visitor-0.1.3-r7.apk
2024-08-07 03:50
4.4K
py3-uptime-pyc-3.0.1-r9.apk
2024-08-07 03:50
8.4K
py3-uptime-3.0.1-r9.apk
2024-08-07 03:50
9.3K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-08-07 03:50
16K
py3-u-msgpack-2.8.0-r2.apk
2024-08-07 03:50
11K
py3-trivup-pyc-0.12.2-r2.apk
2024-08-07 03:50
55K
py3-trivup-0.12.2-r2.apk
2024-08-07 03:50
34K
py3-translationstring-pyc-1.4-r4.apk
2024-08-07 03:50
8.5K
py3-translationstring-1.4-r4.apk
2024-08-07 03:50
8.9K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-08-07 03:50
275K
py3-tlslite-ng-0.7.6-r8.apk
2024-08-07 03:50
178K
py3-telemetrix-pyc-1.20-r3.apk
2024-08-07 03:50
31K
py3-telemetrix-1.20-r3.apk
2024-08-07 03:50
21K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-08-07 03:50
9.0K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-08-07 03:50
7.4K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-08-07 03:50
5.3K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-08-07 03:50
2.4M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-08-07 03:50
2.1K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-08-07 03:50
1.2M
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-08-07 03:50
1.7K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-08-07 03:50
10K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-08-07 03:50
26K
py3-soapy_power-1.6.1-r5.apk
2024-08-07 03:50
17K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-08-07 03:50
25K
py3-snapshottest-0.6.0-r5.apk
2024-08-07 03:50
15K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-08-07 03:50
12K
py3-simplesoapy-1.5.1-r7.apk
2024-08-07 03:50
7.6K
py3-scs-pyc-3.2.3-r4.apk
2024-08-07 03:50
4.7K
py3-scs-3.2.3-r4.apk
2024-08-07 03:50
94K
py3-rpio-pyc-0.10.1-r8.apk
2024-08-07 03:50
16K
py3-rpio-0.10.1-r8.apk
2024-08-07 03:50
35K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-08-07 03:50
12K
py3-rfc-bibtex-0.3.2-r7.apk
2024-08-07 03:50
13K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-08-07 03:50
17K
py3-recommonmark-0.7.1-r4.apk
2024-08-07 03:50
11K
py3-rabbit-pyc-1.1.0-r8.apk
2024-08-07 03:50
15K
py3-rabbit-1.1.0-r8.apk
2024-08-07 03:50
11K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-08-07 03:50
8.2K
py3-python-logstash-doc-0.4.8-r4.apk
2024-08-07 03:50
2.0K
py3-python-logstash-0.4.8-r4.apk
2024-08-07 03:50
8.3K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-08-07 03:50
78K
py3-pysimplesoap-1.16.2-r7.apk
2024-08-07 03:50
43K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-08-07 03:50
52K
py3-pymaging-png-0.0.20130727-r10.apk
2024-08-07 03:50
35K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-08-07 03:50
9.1K
py3-pyisbn-1.3.1-r3.apk
2024-08-07 03:50
20K
py3-pydes-pyc-2.0.1-r5.apk
2024-08-07 03:50
13K
py3-pydes-doc-2.0.1-r5.apk
2024-08-07 03:50
3.4K
py3-pydes-2.0.1-r5.apk
2024-08-07 03:50
10K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-08-07 03:50
3.5K
py3-pycolorterm-0.2.1-r6.apk
2024-08-07 03:50
5.2K
py3-pybars3-pyc-0.9.7-r6.apk
2024-08-07 03:50
17K
py3-pybars3-0.9.7-r6.apk
2024-08-07 03:50
15K
py3-pbkdf2-pyc-1.3-r7.apk
2024-08-07 03:50
6.8K
py3-pbkdf2-1.3-r7.apk
2024-08-07 03:50
6.0K
py3-osqp-pyc-0.6.2-r6.apk
2024-08-07 03:50
76K
py3-osqp-dev-0.6.2-r6.apk
2024-08-07 03:50
49K
py3-osqp-0.6.2-r6.apk
2024-08-07 03:50
100K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-08-07 03:50
17K
py3-orderedmultidict-1.0.1-r7.apk
2024-08-07 03:50
12K
py3-nwdiag-pyc-3.0.0-r3.apk
2024-08-07 03:50
78K
py3-nwdiag-3.0.0-r3.apk
2024-08-07 03:50
4.9M
py3-notifymail-pyc-1.1-r8.apk
2024-08-07 03:50
5.5K
py3-notifymail-1.1-r8.apk
2024-08-07 03:50
7.3K
py3-moviepy-pyc-1.0.3-r6.apk
2024-08-07 03:50
155K
py3-moviepy-1.0.3-r6.apk
2024-08-07 03:50
94K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-08-07 03:50
35K
py3-mopidy-tidal-0.3.2-r6.apk
2024-08-07 03:50
24K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-08-07 03:50
36K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-08-07 03:50
24K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-08-07 03:50
48K
py3-modbus-tk-1.1.1-r4.apk
2024-08-07 03:50
25K
py3-mapbox-earcut-1.0.1-r2.apk
2024-08-07 03:50
60K
py3-lunr-pyc-0.6.2-r4.apk
2024-08-07 03:50
50K
py3-lunr-0.6.2-r4.apk
2024-08-07 03:50
32K
py3-luhn-pyc-0.2.0-r9.apk
2024-08-07 03:50
2.4K
py3-luhn-0.2.0-r9.apk
2024-08-07 03:50
3.7K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-08-07 03:50
2.8K
py3-log-symbols-0.0.14-r5.apk
2024-08-07 03:50
3.9K
py3-libiio-0.25-r2.apk
2024-08-07 03:50
12K
py3-kerberos-1.3.1-r5.apk
2024-08-07 03:50
17K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-08-07 03:50
244K
py3-kazoo-0_git20211202-r4.apk
2024-08-07 03:50
125K
py3-incoming-pyc-0.3.1-r8.apk
2024-08-07 03:50
20K
py3-incoming-0.3.1-r8.apk
2024-08-07 03:50
13K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-08-07 03:50
3.0K
py3-hurry.filesize-0.9-r8.apk
2024-08-07 03:50
4.4K
py3-helper-pyc-2.5.0-r5.apk
2024-08-07 03:50
28K
py3-helper-2.5.0-r5.apk
2024-08-07 03:50
19K
py3-halo-pyc-0.0.31-r5.apk
2024-08-07 03:50
14K
py3-halo-0.0.31-r5.apk
2024-08-07 03:50
11K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-08-07 03:50
5.4K
py3-flake8-polyfill-1.0.2-r5.apk
2024-08-07 03:50
6.8K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-08-07 03:50
26K
py3-feedgenerator-2.1.0-r2.apk
2024-08-07 03:50
18K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-08-07 03:50
3.9K
py3-fastdiff-0.3.0-r5.apk
2024-08-07 03:50
38K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-08-07 03:50
1.8K
py3-editdistance-s-1.0.0-r6.apk
2024-08-07 03:50
14K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-08-07 03:50
8.4K
py3-dotty-dict-1.3.1-r4.apk
2024-08-07 03:50
8.1K
py3-django-suit-pyc-0.2.28-r8.apk
2024-08-07 03:50
32K
py3-django-suit-0.2.28-r8.apk
2024-08-07 03:50
366K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-08-07 03:50
936K
py3-cvxpy-1.2.1-r5.apk
2024-08-07 03:50
662K
py3-coreapi-pyc-2.3.3-r9.apk
2024-08-07 03:50
43K
py3-coreapi-2.3.3-r9.apk
2024-08-07 03:50
22K
py3-columnize-pyc-0.3.11-r4.apk
2024-08-07 03:50
7.2K
py3-columnize-0.3.11-r4.apk
2024-08-07 03:50
8.3K
py3-colander-pyc-2.0-r2.apk
2024-08-07 03:50
42K
py3-colander-2.0-r2.apk
2024-08-07 03:50
62K
py3-clickclick-pyc-20.10.2-r4.apk
2024-08-07 03:50
9.5K
py3-clickclick-20.10.2-r4.apk
2024-08-07 03:50
7.7K
py3-bson-pyc-0.5.10-r6.apk
2024-08-07 03:50
18K
py3-bson-0.5.10-r6.apk
2024-08-07 03:50
11K
py3-banal-pyc-1.0.6-r4.apk
2024-08-07 03:50
7.0K
py3-banal-1.0.6-r4.apk
2024-08-07 03:50
6.6K
py3-asif-pyc-0.3.2-r3.apk
2024-08-07 03:50
26K
py3-asif-0.3.2-r3.apk
2024-08-07 03:50
13K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-08-07 03:50
19K
py3-aioopenssl-0.6.0-r4.apk
2024-08-07 03:50
21K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-08-07 03:50
51K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-08-07 03:50
446K
py3-actdiag-pyc-3.0.0-r5.apk
2024-08-07 03:50
21K
py3-actdiag-3.0.0-r5.apk
2024-08-07 03:50
17K
psftools-doc-1.1.2-r0.apk
2024-08-07 03:50
59K
psftools-dev-1.1.2-r0.apk
2024-08-07 03:50
91K
psftools-1.1.2-r0.apk
2024-08-07 03:50
222K
platformio-core-pyc-6.1.7-r3.apk
2024-08-07 03:50
552K
platformio-core-6.1.7-r3.apk
2024-08-07 03:50
262K
ndpi-dev-4.10-r0.apk
2024-08-07 03:50
1.5M
ndpi-4.10-r0.apk
2024-08-07 03:50
1.4M
mobpass-pyc-0.2-r6.apk
2024-08-07 03:50
5.0K
mobpass-0.2-r6.apk
2024-08-07 03:50
18K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-08-07 03:50
1.5K
mkdocs-windmill-1.0.5-r4.apk
2024-08-07 03:50
944K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-08-07 03:50
1.6K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-08-07 03:50
248K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-08-07 03:50
1.5K
mkdocs-ivory-0.4.6-r5.apk
2024-08-07 03:50
11K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-08-07 03:50
1.6K
mkdocs-gitbook-0.0.1-r5.apk
2024-08-07 03:50
644K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-08-07 03:50
1.6K
mkdocs-cluster-0.0.9-r5.apk
2024-08-07 03:50
651K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-08-07 03:50
1.5K
mkdocs-cinder-1.2.0-r5.apk
2024-08-07 03:50
248K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-08-07 03:50
4.5K
mkdocs-bootswatch-1.1-r5.apk
2024-08-07 03:50
538K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-08-07 03:50
1.5K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-08-07 03:50
260K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-08-07 03:50
1.6K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-08-07 03:50
791K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-08-07 03:50
1.5K
mkdocs-bootstrap-1.1.1-r2.apk
2024-08-07 03:50
28K
mimeo-pyc-2023-r2.apk
2024-08-07 03:50
41K
mimeo-2023-r2.apk
2024-08-07 03:50
28K
licenseheaders-pyc-0.8.8-r4.apk
2024-08-07 03:50
18K
licenseheaders-0.8.8-r4.apk
2024-08-07 03:50
18K
libjodycode-doc-3.1.1-r0.apk
2024-08-07 03:50
3.4K
libjodycode-dev-3.1.1-r0.apk
2024-08-07 03:50
4.0K
libjodycode-3.1.1-r0.apk
2024-08-07 03:50
7.3K
libiio-tools-0.25-r2.apk
2024-08-07 03:50
71K
libiio-pyc-0.25-r2.apk
2024-08-07 03:50
21K
libiio-doc-0.25-r2.apk
2024-08-07 03:50
18K
libiio-dev-0.25-r2.apk
2024-08-07 03:50
13K
libiio-0.25-r2.apk
2024-08-07 03:50
53K
junit2html-pyc-31.0.2-r0.apk
2024-08-07 03:50
23K
junit2html-31.0.2-r0.apk
2024-08-07 03:50
16K
json2tsv-jaq-doc-1.2-r0.apk
2024-08-07 03:50
2.1K
json2tsv-jaq-1.2-r0.apk
2024-08-07 03:50
1.6K
json2tsv-doc-1.2-r0.apk
2024-08-07 03:50
5.0K
json2tsv-1.2-r0.apk
2024-08-07 03:50
6.3K
jdupes-doc-1.28.0-r0.apk
2024-08-07 03:50
8.7K
jdupes-1.28.0-r0.apk
2024-08-07 03:50
28K
hx-doc-1.0.15-r0.apk
2024-08-07 03:50
4.5K
hx-1.0.15-r0.apk
2024-08-07 03:50
14K
git-revise-pyc-0.7.0-r5.apk
2024-08-07 03:50
42K
git-revise-doc-0.7.0-r5.apk
2024-08-07 03:50
4.7K
git-revise-0.7.0-r5.apk
2024-08-07 03:50
24K
elf_diff-pyc-0.7.1-r3.apk
2024-08-07 03:50
108K
elf_diff-0.7.1-r3.apk
2024-08-07 03:50
108K
cdist-pyc-7.0.0-r6.apk
2024-08-07 03:50
127K
cdist-7.0.0-r6.apk
2024-08-07 03:50
511K
bootinfo-pyc-0.1.0-r4.apk
2024-08-07 03:50
8.0K
bootinfo-0.1.0-r4.apk
2024-08-07 03:50
19K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-08-07 03:50
9.4K
amdgpu-fan-0.1.0-r5.apk
2024-08-07 03:50
14K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-07-23 03:14
29K
py3-zipfile2-0.0.12-r0.apk
2024-07-23 03:14
45K
py3-simplesat-pyc-0.8.2-r0.apk
2024-07-23 03:14
156K
py3-simplesat-0.8.2-r0.apk
2024-07-23 03:14
214K
py3-edalize-pyc-0.5.4-r0.apk
2024-07-23 03:14
190K
py3-edalize-0.5.4-r0.apk
2024-07-23 03:14
122K
fusesoc-pyc-2.3-r0.apk
2024-07-23 03:14
89K
fusesoc-2.3-r0.apk
2024-07-23 03:14
46K
fava-pyc-1.28-r0.apk
2024-07-23 03:14
164K
fava-1.28-r0.apk
2024-07-23 03:14
1.1M
php81-pecl-event-3.1.4-r0.apk
2024-07-16 13:38
51K
mdbook-plantuml-0.8.0-r0.apk
2024-07-16 07:17
895K
desed-doc-1.2.1-r1.apk
2024-07-14 07:43
2.6K
desed-1.2.1-r1.apk
2024-07-14 07:43
397K
py3-glob2-pyc-0.7-r6.apk
2024-07-13 19:11
13K
py3-glob2-0.7-r6.apk
2024-07-13 19:11
10K
libettercap-0.8.3.1-r3.apk
2024-07-13 05:59
199K
ettercap-doc-0.8.3.1-r3.apk
2024-07-13 05:59
45K
ettercap-0.8.3.1-r3.apk
2024-07-13 05:59
563K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-07-12 19:29
36K
libretro-neocd-0_git20220325-r1.apk
2024-07-12 14:38
459K
xsoldier-doc-1.8-r2.apk
2024-07-12 05:34
2.4K
xsoldier-1.8-r2.apk
2024-07-12 05:34
69K
glmark2-doc-2023.01-r1.apk
2024-07-12 05:34
13K
glmark2-2023.01-r1.apk
2024-07-12 05:34
8.0M
rvlprog-0.91-r2.apk
2024-07-11 16:44
26K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-07-11 09:30
800K
php81-pecl-xhprof-2.3.10-r0.apk
2024-07-11 09:30
13K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-07-11 07:04
28K
py3-wsgiprox-1.5.2-r1.apk
2024-07-11 07:04
16K
py3-spidev-3.6-r1.apk
2024-07-10 19:46
14K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-07-10 19:46
20K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-07-10 19:46
16K
py3-certauth-pyc-1.3.0-r1.apk
2024-07-10 19:46
8.8K
py3-certauth-1.3.0-r1.apk
2024-07-10 19:46
8.5K
postgresql16-wal2json-2.6-r0.apk
2024-07-10 19:46
70K
py3-testresources-pyc-2.0.1-r6.apk
2024-07-10 05:13
16K
py3-testresources-2.0.1-r6.apk
2024-07-10 05:13
17K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-07-10 05:13
111K
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-07-10 05:13
4.7M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-07-10 05:13
2.2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-07-10 05:13
58K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-07-10 05:13
2.4M
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-07-10 05:13
712K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-07-10 05:13
675K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-07-10 05:13
57M
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-07-10 05:13
230K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-07-10 05:13
7.3K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-07-10 05:13
221K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-07-10 05:13
45K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-07-10 05:13
19M
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-07-10 05:13
208K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-07-10 05:13
112K
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-07-10 05:13
1.8M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-07-10 05:13
10M
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-07-10 05:13
500K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-07-10 05:13
934K
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-07-10 05:13
1.9M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-07-10 05:13
5.6M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-07-10 05:13
1.1M
py3-litex-hub-modules-2024.04-r0.apk
2024-07-10 05:13
1.4K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-07-10 05:13
25K
py3-flask-mailman-1.1.1-r0.apk
2024-07-10 05:13
16K
otrs-setup-6.0.48-r2.apk
2024-07-10 05:13
107K
otrs-openrc-6.0.48-r2.apk
2024-07-10 05:13
1.6K
otrs-nginx-6.0.48-r2.apk
2024-07-10 05:13
1.5K
otrs-fastcgi-6.0.48-r2.apk
2024-07-10 05:13
1.5K
otrs-doc-6.0.48-r2.apk
2024-07-10 05:13
795K
otrs-dev-6.0.48-r2.apk
2024-07-10 05:13
3.9M
otrs-bash-completion-6.0.48-r2.apk
2024-07-10 05:13
2.1K
otrs-apache2-6.0.48-r2.apk
2024-07-10 05:13
3.8K
otrs-6.0.48-r2.apk
2024-07-10 05:13
29M
lua-inet-0.2.0-r1.apk
2024-07-10 05:12
8.9K
gnu-apl-doc-1.9-r0.apk
2024-07-10 05:12
1.6M
gnu-apl-dev-1.9-r0.apk
2024-07-10 05:12
893K
gnu-apl-1.9-r0.apk
2024-07-10 05:12
1.3M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-07-10 05:12
1.1M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-07-10 05:12
5.6M
bkt-doc-0.8.0-r0.apk
2024-07-10 05:12
7.0K
bkt-0.8.0-r0.apk
2024-07-10 05:12
348K
lol-html-dev-1.1.1-r1.apk
2024-07-02 14:37
6.3K
lol-html-1.1.1-r1.apk
2024-07-02 14:37
435K
csmith-doc-2.3.0-r2.apk
2024-07-01 16:13
2.8K
csmith-2.3.0-r2.apk
2024-07-01 16:13
312K
symengine-0.12.0-r0.apk
2024-06-30 21:18
6.2M
pasystray-doc-0.8.2-r0.apk
2024-06-28 07:24
3.1K
pasystray-0.8.2-r0.apk
2024-06-28 07:24
46K
hddfancontrol-pyc-1.6.2-r0.apk
2024-06-27 22:49
34K
hddfancontrol-openrc-1.6.2-r0.apk
2024-06-27 22:49
1.9K
hddfancontrol-1.6.2-r0.apk
2024-06-27 22:49
33K
saait-doc-0.8-r0.apk
2024-06-27 21:56
12K
saait-0.8-r0.apk
2024-06-27 21:56
6.9K
it87-src-1_p20240609-r0.apk
2024-06-27 15:58
29K
nextpnr-ice40-0.7-r0.apk
2024-06-27 06:00
69M
nextpnr-gowin-0.7-r0.apk
2024-06-27 06:00
1.5M
nextpnr-generic-0.7-r0.apk
2024-06-27 06:00
761K
nextpnr-ecp5-0.7-r0.apk
2024-06-27 06:00
25M
nextpnr-0.7-r0.apk
2024-06-27 06:00
1.2K
wakeonlan-doc-0.42-r0.apk
2024-06-26 10:05
7.3K
wakeonlan-0.42-r0.apk
2024-06-26 10:05
4.2K
ghc-filesystem-1.5.14-r0.apk
2024-06-25 13:42
38K
pegasus-frontend-doc-16_alpha-r0.apk
2024-06-23 07:32
16K
pegasus-frontend-16_alpha-r0.apk
2024-06-23 07:32
1.3M
py3-apio-pyc-0.9.5-r0.apk
2024-06-23 05:00
77K
py3-apio-0.9.5-r0.apk
2024-06-23 05:00
72K
s-postgray-doc-0.8.3-r0.apk
2024-06-23 04:52
9.3K
s-postgray-0.8.3-r0.apk
2024-06-23 04:52
46K
qqc2-suru-style-0.20230206-r1.apk
2024-06-22 12:59
173K
qdjango-dev-0.6.2-r1.apk
2024-06-22 12:59
14K
qdjango-0.6.2-r1.apk
2024-06-22 12:59
103K
icestorm-0_git20240517-r0.apk
2024-06-22 12:59
17M
geonames-lang-0.3.1-r2.apk
2024-06-22 12:59
4.6M
geonames-doc-0.3.1-r2.apk
2024-06-22 12:59
12K
geonames-dev-0.3.1-r2.apk
2024-06-22 12:59
2.8K
geonames-0.3.1-r2.apk
2024-06-22 12:59
827K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-06-19 23:46
5.2K
rattler-build-fish-completion-0.18.0-r0.apk
2024-06-19 23:46
4.5K
rattler-build-doc-0.18.0-r0.apk
2024-06-19 23:46
6.5K
rattler-build-bash-completion-0.18.0-r0.apk
2024-06-19 23:46
3.4K
rattler-build-0.18.0-r0.apk
2024-06-19 23:46
5.7M
batmon-0.0.1-r0.apk
2024-06-18 00:01
425K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-06-17 10:37
1.7K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-06-17 10:37
3.5K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-06-17 10:37
1.8K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-06-17 10:37
3.3K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-06-17 10:37
2.3K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-06-17 10:37
3.2K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-06-17 10:37
10K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-06-17 10:37
1.5K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-06-17 10:37
3.1K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-06-17 10:37
3.9K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-06-17 10:37
7.5K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-06-17 10:37
55K
fdm-materials-5.2.2-r1.apk
2024-06-17 10:19
59K
pixi-zsh-completion-0.24.2-r0.apk
2024-06-16 23:48
10K
pixi-fish-completion-0.24.2-r0.apk
2024-06-16 23:48
9.8K
pixi-doc-0.24.2-r0.apk
2024-06-16 23:48
6.6K
pixi-bash-completion-0.24.2-r0.apk
2024-06-16 23:48
6.9K
pixi-0.24.2-r0.apk
2024-06-16 23:48
8.4M
libb64-doc-2.0.0.1-r0.apk
2024-06-16 13:26
7.8K
libb64-dev-2.0.0.1-r0.apk
2024-06-16 13:26
6.6K
libb64-2.0.0.1-r0.apk
2024-06-16 13:26
4.0K
dewduct-0.2.3-r0.apk
2024-06-14 22:19
1.1M
sshuttle-pyc-1.1.2-r0.apk
2024-06-12 03:20
100K
sshuttle-doc-1.1.2-r0.apk
2024-06-12 03:20
8.2K
sshuttle-1.1.2-r0.apk
2024-06-12 03:20
62K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-06-12 03:20
35K
py3-dataclasses-json-0.6.7-r0.apk
2024-06-12 03:20
27K
atomicparsley-20240608-r0.apk
2024-06-11 16:32
116K
sgt-puzzles-0_git20230310-r2.apk
2024-06-10 13:55
2.9M
sxcs-doc-1.1.0-r0.apk
2024-06-06 23:03
2.4K
sxcs-1.1.0-r0.apk
2024-06-06 23:03
8.0K
java-asmtools-doc-8.0.09-r0.apk
2024-06-04 09:45
6.6K
java-asmtools-8.0.09-r0.apk
2024-06-04 09:45
574K
s-dkim-sign-doc-0.6.2-r0.apk
2024-06-02 09:03
8.3K
s-dkim-sign-0.6.2-r0.apk
2024-06-02 09:03
57K
py3-padacioso-pyc-0.2.1-r0.apk
2024-05-31 21:58
12K
py3-padacioso-0.2.1-r0.apk
2024-05-31 21:58
11K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-05-31 21:58
5.2K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-05-31 21:58
9.3K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-05-31 21:58
3.8K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-05-31 21:58
8.1K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-05-31 21:58
86K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-05-31 21:58
550K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-05-31 21:58
436K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-05-31 21:58
358K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-05-31 21:58
163K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-05-31 21:58
103K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-05-31 21:58
8.0K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-05-31 21:58
9.7K
bitritter-0.1.1-r0.apk
2024-05-30 07:03
2.1M
vectoroids-doc-1.1.0-r2.apk
2024-05-28 13:28
2.0K
vectoroids-1.1.0-r2.apk
2024-05-28 13:28
281K
perl-xml-rpc-doc-2.1-r0.apk
2024-05-26 14:29
4.6K
perl-xml-rpc-2.1-r0.apk
2024-05-26 14:29
5.5K
keydb-openrc-6.3.4-r0.apk
2024-05-26 14:29
2.4K
keydb-cli-6.3.4-r0.apk
2024-05-26 14:29
389K
keydb-benchmark-6.3.4-r0.apk
2024-05-26 14:29
426K
keydb-6.3.4-r0.apk
2024-05-26 14:29
1.1M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-05-21 18:15
3.3M
emulationstation-2.11.2-r1.apk
2024-05-21 18:15
1.2M
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-05-13 19:30
502K
py3-pyinstaller-6.6.0-r0.apk
2024-05-13 19:30
1.9M
py3-rst.linker-pyc-2.6.0-r0.apk
2024-05-13 00:34
6.4K
py3-rst.linker-2.6.0-r0.apk
2024-05-13 00:34
5.8K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-05-13 00:34
5.8K
py3-jaraco.versioning-1.1.0-r0.apk
2024-05-13 00:34
5.6K
surf-doc-2.1-r3.apk
2024-05-12 02:19
4.4K
surf-2.1-r3.apk
2024-05-12 02:19
21K
pxalarm-3.0.0-r0.apk
2024-05-10 03:53
2.6K
bootterm-dbg-0.5-r0.apk
2024-05-09 20:31
2.1K
bootterm-0.5-r0.apk
2024-05-09 20:31
19K
py3-pytap2-pyc-2.3.0-r0.apk
2024-05-09 01:09
5.7K
py3-pytap2-doc-2.3.0-r0.apk
2024-05-09 01:09
2.5K
py3-pytap2-2.3.0-r0.apk
2024-05-09 01:09
6.7K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-05-09 01:09
47K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-05-09 01:09
4.0K
py3-pyqrcode-1.2.1-r0.apk
2024-05-09 01:09
36K
projectm-sdl-3.1.12-r2.apk
2024-05-08 15:23
352K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-05-08 15:23
1.7K
projectm-pulseaudio-3.1.12-r2.apk
2024-05-08 15:23
447K
projectm-presets-3.1.12-r2.apk
2024-05-08 15:23
4.3M
projectm-dev-3.1.12-r2.apk
2024-05-08 15:23
1.1M
projectm-3.1.12-r2.apk
2024-05-08 15:23
449K
libmygpo-qt-dev-1.1.0-r2.apk
2024-05-08 15:23
12K
libmygpo-qt-1.1.0-r2.apk
2024-05-08 15:23
84K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-05-08 15:23
19K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-05-08 15:23
160K
ttfautohint-libs-1.8.4-r0.apk
2024-05-07 10:33
106K
ttfautohint-gui-1.8.4-r0.apk
2024-05-07 10:33
59K
ttfautohint-doc-1.8.4-r0.apk
2024-05-07 10:33
7.8K
ttfautohint-dev-1.8.4-r0.apk
2024-05-07 10:33
260K
ttfautohint-1.8.4-r0.apk
2024-05-07 10:33
28K
trantor-doc-1.5.18-r0.apk
2024-05-07 10:33
2.4K
trantor-dev-1.5.18-r0.apk
2024-05-07 10:33
34K
trantor-1.5.18-r0.apk
2024-05-07 10:33
222K
thumbdrives-0.3.2-r2.apk
2024-05-07 10:33
11K
sopwith-doc-2.5.0-r0.apk
2024-05-07 10:33
15K
sopwith-2.5.0-r0.apk
2024-05-07 10:33
51K
py3-timeago-pyc-1.0.16-r0.apk
2024-05-07 10:33
27K
py3-timeago-doc-1.0.16-r0.apk
2024-05-07 10:33
2.6K
py3-timeago-1.0.16-r0.apk
2024-05-07 10:33
23K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-05-07 10:33
90K
py3-pypubsub-doc-4.0.3-r0.apk
2024-05-07 10:33
1.9K
py3-pypubsub-4.0.3-r0.apk
2024-05-07 10:33
52K
xsecurelock-doc-1.9.0-r1.apk
2024-04-30 03:07
17K
xsecurelock-1.9.0-r1.apk
2024-04-30 03:07
63K
whipper-pyc-0.10.0-r5.apk
2024-04-30 03:07
185K
whipper-0.10.0-r5.apk
2024-04-30 03:07
113K
vit-pyc-2.3.2-r1.apk
2024-04-30 03:07
151K
vit-2.3.2-r1.apk
2024-04-30 03:07
80K
vcstool-zsh-completion-0.3.0-r5.apk
2024-04-30 03:07
1.4K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-04-30 03:07
1.4K
vcstool-pyc-0.3.0-r5.apk
2024-04-30 03:07
57K
vcstool-bash-completion-0.3.0-r5.apk
2024-04-30 03:07
1.5K
vcstool-0.3.0-r5.apk
2024-04-30 03:07
35K
thunarx-python-doc-0.5.2-r2.apk
2024-04-30 03:07
25K
thunarx-python-0.5.2-r2.apk
2024-04-30 03:07
9.3K
sublime-music-pyc-0.12.0-r1.apk
2024-04-30 03:07
302K
sublime-music-0.12.0-r1.apk
2024-04-30 03:07
189K
steghide-doc-0.5.1.1-r0.apk
2024-04-30 03:07
13K
steghide-0.5.1.1-r0.apk
2024-04-30 03:07
137K
sigma-pyc-0.23.1-r1.apk
2024-04-30 03:07
340K
sigma-0.23.1-r1.apk
2024-04-30 03:07
236K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-04-30 03:07
28K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-04-30 03:07
3.2K
shadowsocks-libev-3.3.5-r4.apk
2024-04-30 03:07
226K
rtl-power-fftw-doc-20200601-r4.apk
2024-04-30 03:07
7.9K
rtl-power-fftw-20200601-r4.apk
2024-04-30 03:07
61K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-04-30 03:07
73K
rhasspy-nlu-0.4.0-r3.apk
2024-04-30 03:07
43K
py3-yapsy-pyc-1.12.2-r7.apk
2024-04-30 03:07
47K
py3-yapsy-1.12.2-r7.apk
2024-04-30 03:07
32K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-04-30 03:07
13K
py3-wg-netns-2.3.1-r1.apk
2024-04-30 03:07
7.3K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-04-30 03:07
79K
py3-ward-0.67.0_beta0-r2.apk
2024-04-30 03:07
40K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-04-30 03:07
14K
py3-typing_inspect-0.9.0-r2.apk
2024-04-30 03:07
9.6K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-04-30 03:07
8.7K
py3-thefuzz-0.22.1-r1.apk
2024-04-30 03:07
9.7K
py3-teletype-pyc-1.3.4-r3.apk
2024-04-30 03:07
20K
py3-teletype-1.3.4-r3.apk
2024-04-30 03:07
15K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-04-30 03:07
13K
py3-telegram-text-0.2.0-r1.apk
2024-04-30 03:07
8.9K
py3-tasklib-pyc-2.5.1-r2.apk
2024-04-30 03:07
51K
py3-tasklib-2.5.1-r2.apk
2024-04-30 03:07
23K
py3-tailer-pyc-0.4.1-r7.apk
2024-04-30 03:07
6.4K
py3-tailer-0.4.1-r7.apk
2024-04-30 03:07
6.6K
py3-spin-pyc-0.8-r0.apk
2024-04-30 03:07
24K
py3-spin-0.8-r0.apk
2024-04-30 03:07
18K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-04-30 03:07
5.4K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-04-30 03:07
5.8K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-04-30 03:07
4.6K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-04-30 03:07
7.1K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-04-30 03:07
9.0K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-04-30 03:07
7.4K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-04-30 03:07
20K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-04-30 03:07
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-04-30 03:07
11K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-04-30 03:07
8.4K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-04-30 03:07
2.9K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-04-30 03:07
3.9K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-04-30 03:07
10K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-04-30 03:07
7.6K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-04-30 03:07
12K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-04-30 03:07
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-04-30 03:07
15K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-04-30 03:07
10K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-04-30 03:07
44K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-04-30 03:07
21K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-04-30 03:07
34K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-04-30 03:07
4.1K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-04-30 03:07
18K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-04-30 03:07
15K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-04-30 03:07
10K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-04-30 03:07
3.1K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-04-30 03:07
3.6K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-04-30 03:07
3.2K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-04-30 03:07
8.8K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-04-30 03:07
33K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-04-30 03:07
18K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-04-30 03:07
4.0K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-04-30 03:07
5.4K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-04-30 03:07
8.9K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-04-30 03:07
7.3K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-04-30 03:07
3.8K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-04-30 03:07
5.4K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-04-30 03:07
12K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-04-30 03:07
8.7K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-04-30 03:07
1.9K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-04-30 03:07
8.5K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-04-30 03:07
2.2K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-04-30 03:07
30K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-04-30 03:07
42K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-04-30 03:07
81K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-04-30 03:07
1.6K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-04-30 03:07
64K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-04-30 03:07
729K
py3-slixmpp-doc-1.8.5-r2.apk
2024-04-30 03:07
5.5K
py3-slixmpp-1.8.5-r2.apk
2024-04-30 03:07
382K
py3-simplematch-pyc-1.4-r1.apk
2024-04-30 03:07
5.5K
py3-simplematch-1.4-r1.apk
2024-04-30 03:07
7.7K
py3-shodan-pyc-1.31.0-r1.apk
2024-04-30 03:07
79K
py3-shodan-doc-1.31.0-r1.apk
2024-04-30 03:07
6.9K
py3-shodan-1.31.0-r1.apk
2024-04-30 03:07
44K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-04-30 03:07
5.7K
py3-setuptools-lint-0.6.0-r9.apk
2024-04-30 03:07
5.1K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-04-30 03:07
42K
py3-seqdiag-3.0.0-r5.apk
2024-04-30 03:07
2.5M
py3-scour-pyc-0.38.2-r1.apk
2024-04-30 03:07
73K
py3-scour-0.38.2-r1.apk
2024-04-30 03:07
56K
py3-rospkg-pyc-1.2.9-r5.apk
2024-04-30 03:07
54K
py3-rospkg-1.2.9-r5.apk
2024-04-30 03:07
29K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-04-30 03:07
91K
py3-rosdistro-0.9.0-r3.apk
2024-04-30 03:07
47K
py3-rich-click-pyc-1.7.3-r1.apk
2024-04-30 03:07
40K
py3-rich-click-1.7.3-r1.apk
2024-04-30 03:07
30K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-04-30 03:07
6.3K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-04-30 03:07
5.2K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-04-30 03:07
7.5K
py3-quebra-frases-0.3.7-r1.apk
2024-04-30 03:07
8.5K
py3-qpageview-pyc-0.6.2-r1.apk
2024-04-30 03:07
180K
py3-qpageview-doc-0.6.2-r1.apk
2024-04-30 03:07
56K
py3-qpageview-0.6.2-r1.apk
2024-04-30 03:07
98K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-04-30 03:07
7.6K
py3-pytest-metadata-3.1.1-r0.apk
2024-04-30 03:07
9.9K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-04-30 03:07
21K
py3-pytest-html-4.1.1-r1.apk
2024-04-30 03:07
21K
py3-pystache-pyc-0.6.5-r1.apk
2024-04-30 03:07
96K
py3-pystache-0.6.5-r1.apk
2024-04-30 03:07
68K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-04-30 03:07
63K
py3-pyspinel-1.0.3-r1.apk
2024-04-30 03:07
56K
py3-pyroma-pyc-4.2-r0.apk
2024-04-30 03:07
26K
py3-pyroma-4.2-r0.apk
2024-04-30 03:07
22K
py3-pyparted-pyc-3.13.0-r1.apk
2024-04-30 03:07
42K
py3-pyparted-3.13.0-r1.apk
2024-04-30 03:07
78K
py3-pylru-pyc-1.2.1-r1.apk
2024-04-30 03:07
8.7K
py3-pylru-1.2.1-r1.apk
2024-04-30 03:07
16K
py3-pygtail-pyc-0.14.0-r3.apk
2024-04-30 03:07
9.8K
py3-pygtail-0.14.0-r3.apk
2024-04-30 03:07
15K
py3-proglog-pyc-0.1.10-r2.apk
2024-04-30 03:07
9.6K
py3-proglog-0.1.10-r2.apk
2024-04-30 03:07
7.1K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-04-30 03:07
16K
py3-pprintpp-0.4.0-r1.apk
2024-04-30 03:07
14K
py3-playsound-pyc-1.3.0-r1.apk
2024-04-30 03:07
8.3K
py3-playsound-1.3.0-r1.apk
2024-04-30 03:07
6.6K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-04-30 03:07
4.4K
py3-pip-system-certs-4.0-r1.apk
2024-04-30 03:07
6.7K
py3-piccata-pyc-2.0.3-r1.apk
2024-04-30 03:07
34K
py3-piccata-2.0.3-r1.apk
2024-04-30 03:07
20K
py3-pelican-pyc-4.9.1-r2.apk
2024-04-30 03:07
147K
py3-pelican-4.9.1-r2.apk
2024-04-30 03:07
233K
py3-pam-pyc-2.0.2-r2.apk
2024-04-30 03:07
13K
py3-pam-2.0.2-r2.apk
2024-04-30 03:07
11K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-04-30 03:07
6.5K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-04-30 03:07
9.8K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-04-30 03:07
4.1K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-04-30 03:07
4.2K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-04-30 03:07
10K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-04-30 03:07
8.0K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-04-30 03:07
11K
py3-openapi-codec-1.3.2-r9.apk
2024-04-30 03:07
7.4K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-04-30 03:07
8.9K
py3-netifaces2-0.0.22-r0.apk
2024-04-30 03:07
187K
py3-natpmp-pyc-1.3.2-r1.apk
2024-04-30 03:07
9.6K
py3-natpmp-1.3.2-r1.apk
2024-04-30 03:07
9.1K
py3-more-properties-pyc-1.1.1-r3.apk
2024-04-30 03:07
7.9K
py3-more-properties-1.1.1-r3.apk
2024-04-30 03:07
7.2K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-04-30 03:07
74K
py3-mopidy-mpd-3.3.0-r4.apk
2024-04-30 03:07
46K
py3-migen-pyc-0.9.2-r2.apk
2024-04-30 03:07
295K
py3-migen-0.9.2-r2.apk
2024-04-30 03:07
142K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-04-30 03:07
4.2K
py3-marshmallow-enum-1.5.1-r7.apk
2024-04-30 03:07
5.0K
py3-lzo-pyc-1.16-r1.apk
2024-04-30 03:07
1.7K
py3-lzo-1.16-r1.apk
2024-04-30 03:07
16K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-04-30 03:07
107K
py3-lsprotocol-2023.0.1-r1.apk
2024-04-30 03:07
69K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-04-30 03:07
6.1K
py3-lsp-black-2.0.0-r1.apk
2024-04-30 03:07
7.2K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-04-30 03:07
23K
py3-linkify-it-py-2.0.3-r1.apk
2024-04-30 03:07
21K
py3-librtmp-pyc-0.3.0-r6.apk
2024-04-30 03:07
24K
py3-librtmp-0.3.0-r6.apk
2024-04-30 03:07
36K
py3-libnacl-pyc-2.1.0-r1.apk
2024-04-30 03:07
30K
py3-libnacl-2.1.0-r1.apk
2024-04-30 03:07
20K
py3-langcodes-pyc-3.3.0-r2.apk
2024-04-30 03:07
109K
py3-langcodes-3.3.0-r2.apk
2024-04-30 03:07
173K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-04-30 03:07
9.2K
py3-landlock-1.0.0_pre4-r2.apk
2024-04-30 03:07
8.1K
py3-keepalive-pyc-0.5-r5.apk
2024-04-30 03:07
13K
py3-keepalive-doc-0.5-r5.apk
2024-04-30 03:07
1.7K
py3-keepalive-0.5-r5.apk
2024-04-30 03:07
8.7K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-04-30 03:07
22K
py3-html5-parser-0.4.12-r1.apk
2024-04-30 03:07
167K
py3-hg-git-pyc-1.1.1-r1.apk
2024-04-30 03:07
107K
py3-hg-git-1.1.1-r1.apk
2024-04-30 03:07
70K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-04-30 03:07
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-04-30 03:07
12K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-04-30 03:07
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-04-30 03:07
24K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-04-30 03:07
10K
py3-google-trans-new-1.1.9-r2.apk
2024-04-30 03:07
8.9K
py3-gls-pyc-1.3.1-r1.apk
2024-04-30 03:07
84K
py3-gls-1.3.1-r1.apk
2024-04-30 03:07
46K
py3-github3-pyc-4.0.1-r1.apk
2024-04-30 03:07
227K
py3-github3-4.0.1-r1.apk
2024-04-30 03:07
128K
py3-git-versioner-pyc-7.1-r1.apk
2024-04-30 03:07
13K
py3-git-versioner-7.1-r1.apk
2024-04-30 03:07
12K
py3-fpdf-pyc-1.7.2-r5.apk
2024-04-30 03:07
89K
py3-fpdf-1.7.2-r5.apk
2024-04-30 03:07
39K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-04-30 03:07
9.4K
py3-forbiddenfruit-0.1.4-r2.apk
2024-04-30 03:07
8.7K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-04-30 03:07
59K
py3-flask-restless-0.17.0-r9.apk
2024-04-30 03:07
40K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-04-30 03:07
20K
py3-flask-restaction-0.25.3-r8.apk
2024-04-30 03:07
115K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-04-30 03:07
11K
py3-flask-paginate-0.8.1-r6.apk
2024-04-30 03:07
7.9K
py3-flask-markdown-pyc-0.3-r8.apk
2024-04-30 03:07
3.6K
py3-flask-markdown-0.3-r8.apk
2024-04-30 03:07
5.3K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-04-30 03:07
7.6K
py3-flask-loopback-1.4.7-r7.apk
2024-04-30 03:07
5.3K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-04-30 03:07
3.2K
py3-flask-json-schema-0.0.5-r4.apk
2024-04-30 03:07
3.8K
py3-flask-headers-pyc-1.0-r9.apk
2024-04-30 03:07
2.2K
py3-flask-headers-1.0-r9.apk
2024-04-30 03:07
2.9K
py3-flask-gzip-pyc-0.2-r8.apk
2024-04-30 03:07
2.5K
py3-flask-gzip-0.2-r8.apk
2024-04-30 03:07
2.9K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-04-30 03:07
6.0K
py3-flask-dbconfig-0.3.12-r8.apk
2024-04-30 03:07
85K
py3-flask-components-pyc-0.1.1-r9.apk
2024-04-30 03:07
3.0K
py3-flask-components-0.1.1-r9.apk
2024-04-30 03:07
3.6K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-04-30 03:07
18K
py3-flask-cache-0.13.1-r9.apk
2024-04-30 03:07
12K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-04-30 03:07
5.5K
py3-flask-bcrypt-1.0.1-r5.apk
2024-04-30 03:07
6.9K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-04-30 03:07
3.8K
py3-flask-basicauth-0.2.0-r9.apk
2024-04-30 03:07
5.1K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-04-30 03:07
4.7K
py3-flask-autorouter-0.2.2-r3.apk
2024-04-30 03:07
4.8K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-04-30 03:07
358K
py3-flask-admin-1.6.1-r3.apk
2024-04-30 03:07
6.5M
py3-flake8-todo-pyc-0.7-r7.apk
2024-04-30 03:07
2.0K
py3-flake8-todo-0.7-r7.apk
2024-04-30 03:07
3.3K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-04-30 03:07
3.4K
py3-flake8-snippets-0.2-r8.apk
2024-04-30 03:07
5.0K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-04-30 03:07
4.1K
py3-flake8-print-5.0.0-r5.apk
2024-04-30 03:07
6.4K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-04-30 03:07
16K
py3-flake8-import-order-0.18.2-r4.apk
2024-04-30 03:07
15K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-04-30 03:07
5.7K
py3-flake8-debugger-4.1.2-r4.apk
2024-04-30 03:07
6.0K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-04-30 03:07
3.1K
py3-flake8-copyright-0.2.4-r3.apk
2024-04-30 03:07
18K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-04-30 03:07
2.3K
py3-flake8-blind-except-0.2.1-r4.apk
2024-04-30 03:07
4.9K
py3-feedgen-pyc-1.0.0-r1.apk
2024-04-30 03:07
61K
py3-feedgen-1.0.0-r1.apk
2024-04-30 03:07
40K
py3-dweepy-pyc-0.3.0-r7.apk
2024-04-30 03:07
6.0K
py3-dweepy-0.3.0-r7.apk
2024-04-30 03:07
8.8K
py3-duniterpy-1.1.1-r3.apk
2024-04-30 03:07
221K
py3-dominate-pyc-2.9.1-r1.apk
2024-04-30 03:07
33K
py3-dominate-2.9.1-r1.apk
2024-04-30 03:07
24K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-04-30 03:07
4.7K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-04-30 03:07
3.7K
py3-dexml-pyc-0.5.1-r9.apk
2024-04-30 03:07
37K
py3-dexml-0.5.1-r9.apk
2024-04-30 03:07
22K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-04-30 03:07
3.9K
py3-daterangestr-0.0.3-r8.apk
2024-04-30 03:07
4.1K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-04-30 03:07
14K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-04-30 03:07
11K
py3-crc16-pyc-0.1.1-r10.apk
2024-04-30 03:07
4.4K
py3-crc16-0.1.1-r10.apk
2024-04-30 03:07
11K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-04-30 03:07
47K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-04-30 03:07
3.4K
py3-cookiecutter-2.6.0-r1.apk
2024-04-30 03:07
35K
py3-colorthief-pyc-0.2.1-r1.apk
2024-04-30 03:07
9.8K
py3-colorthief-0.2.1-r1.apk
2024-04-30 03:07
7.0K
py3-cmd2-pyc-2.4.3-r2.apk
2024-04-30 03:07
222K
py3-cmd2-2.4.3-r2.apk
2024-04-30 03:07
139K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-04-30 03:07
4.2K
py3-click-default-group-1.2.4-r1.apk
2024-04-30 03:07
4.9K
py3-click-completion-pyc-0.5.2-r1.apk
2024-04-30 03:07
14K
py3-click-completion-0.5.2-r1.apk
2024-04-30 03:07
11K
py3-class-doc-pyc-1.25-r1.apk
2024-04-30 03:07
8.4K
py3-class-doc-1.25-r1.apk
2024-04-30 03:07
5.8K
py3-businesstime-pyc-0.3.0-r9.apk
2024-04-30 03:07
16K
py3-businesstime-0.3.0-r9.apk
2024-04-30 03:07
10K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-04-30 03:07
4.0K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-04-30 03:07
3.8K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-04-30 03:07
2.9K
py3-bottle-websocket-0.2.9-r8.apk
2024-04-30 03:07
4.4K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-04-30 03:07
5.0K
py3-bottle-sqlite-0.2.0-r7.apk
2024-04-30 03:07
4.4K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-04-30 03:07
5.4K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-04-30 03:07
4.6K
py3-bottle-session-pyc-1.0-r6.apk
2024-04-30 03:07
7.5K
py3-bottle-session-1.0-r6.apk
2024-04-30 03:07
9.9K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-04-30 03:07
4.9K
py3-bottle-rest-0.6.0-r1.apk
2024-04-30 03:07
5.8K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-04-30 03:07
2.3K
py3-bottle-request-0.2.0-r9.apk
2024-04-30 03:07
2.9K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-04-30 03:07
3.5K
py3-bottle-renderer-0.1.1-r9.apk
2024-04-30 03:07
3.7K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-04-30 03:07
2.8K
py3-bottle-redis-0.2.3-r6.apk
2024-04-30 03:07
3.0K
py3-bottle-pgsql-0.2-r5.apk
2024-04-30 03:07
4.0K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-04-30 03:07
4.9K
py3-bottle-api-0.0.4-r7.apk
2024-04-30 03:07
4.6K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-04-30 03:07
13K
py3-bitstruct-8.19.0-r1.apk
2024-04-30 03:07
35K
py3-bidict-pyc-0.23.1-r1.apk
2024-04-30 03:07
28K
py3-bidict-0.23.1-r1.apk
2024-04-30 03:07
27K
py3-bencode-pyc-4.0.0-r1.apk
2024-04-30 03:07
10K
py3-bencode-4.0.0-r1.apk
2024-04-30 03:07
17K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-04-30 03:07
4.0K
py3-barcodenumber-0.2.1-r10.apk
2024-04-30 03:07
16K
py3-avro-pyc-1.11.3-r1.apk
2024-04-30 03:07
191K
py3-avro-1.11.3-r1.apk
2024-04-30 03:07
97K
py3-astral-pyc-3.2-r3.apk
2024-04-30 03:07
59K
py3-astral-3.2-r3.apk
2024-04-30 03:07
37K
py3-ask-pyc-0.0.8-r8.apk
2024-04-30 03:07
4.2K
py3-ask-0.0.8-r8.apk
2024-04-30 03:07
4.7K
py3-apicula-pyc-0.11.1-r1.apk
2024-04-30 03:07
179K
py3-apicula-0.11.1-r1.apk
2024-04-30 03:06
8.5M
py3-anyascii-pyc-0.3.2-r1.apk
2024-04-30 03:06
3.0K
py3-anyascii-0.3.2-r1.apk
2024-04-30 03:06
274K
py3-altgraph-pyc-0.17.4-r1.apk
2024-04-30 03:06
29K
py3-altgraph-0.17.4-r1.apk
2024-04-30 03:06
20K
py3-allfiles-pyc-1.0-r8.apk
2024-04-30 03:06
3.0K
py3-allfiles-1.0-r8.apk
2024-04-30 03:06
3.3K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-04-30 03:06
8.9K
py3-aiohttp-jinja2-1.6-r2.apk
2024-04-30 03:06
12K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-04-30 03:06
60K
py3-aiodocker-0.21.0-r1.apk
2024-04-30 03:06
29K
prjtrellis-1.4-r2.apk
2024-04-30 03:06
1.3M
php81-pecl-vips-1.0.13-r0.apk
2024-04-30 03:06
17K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-04-30 03:06
9.6K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-04-30 03:06
6.2K
php81-pecl-ssh2-1.4.1-r0.apk
2024-04-30 03:06
28K
php81-pecl-psr-1.2.0-r0.apk
2024-04-30 03:06
18K
php81-pecl-memcache-8.2-r1.apk
2024-04-30 03:06
44K
php81-pecl-lzf-1.7.0-r0.apk
2024-04-30 03:06
7.1K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-04-30 03:06
30K
php81-pecl-decimal-1.5.0-r1.apk
2024-04-30 03:06
19K
pest-language-server-0.3.9-r0.apk
2024-04-30 03:06
1.0M
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-04-30 03:06
3.7K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-04-30 03:06
45K
openscap-daemon-pyc-0.1.10-r9.apk
2024-04-30 03:06
102K
openscap-daemon-doc-0.1.10-r9.apk
2024-04-30 03:06
17K
openscap-daemon-0.1.10-r9.apk
2024-04-30 03:06
60K
mnamer-pyc-2.5.5-r1.apk
2024-04-30 03:06
60K
mnamer-2.5.5-r1.apk
2024-04-30 03:06
31K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-04-30 03:06
9.7K
libvoikko-doc-4.3.2-r1.apk
2024-04-30 03:06
5.4K
libvoikko-dev-4.3.2-r1.apk
2024-04-30 03:06
9.6K
libvoikko-4.3.2-r1.apk
2024-04-30 03:06
124K
libsigrokdecode-dev-0.5.3-r4.apk
2024-04-30 03:06
63K
libsigrokdecode-0.5.3-r4.apk
2024-04-30 03:06
334K
libshadowsocks-libev-3.3.5-r4.apk
2024-04-30 03:06
49K
libretro-cannonball-0_git20220309-r6.apk
2024-04-30 03:06
277K
lfm-pyc-3.1-r4.apk
2024-04-30 03:06
133K
lfm-doc-3.1-r4.apk
2024-04-30 03:06
2.5K
lfm-3.1-r4.apk
2024-04-30 03:06
88K
kubesplit-pyc-0.3.3-r1.apk
2024-04-30 03:06
13K
kubesplit-0.3.3-r1.apk
2024-04-30 03:06
12K
imediff-pyc-2.6-r1.apk
2024-04-30 03:06
43K
imediff-doc-2.6-r1.apk
2024-04-30 03:06
6.2K
imediff-2.6-r1.apk
2024-04-30 03:06
41K
httpie-oauth-pyc-1.0.2-r9.apk
2024-04-30 03:05
2.0K
httpie-oauth-1.0.2-r9.apk
2024-04-30 03:05
3.1K
horizon-tools-0.9.6-r9.apk
2024-04-30 03:05
82K
horizon-image-0.9.6-r9.apk
2024-04-30 03:05
68K
horizon-doc-0.9.6-r9.apk
2024-04-30 03:05
21K
horizon-dev-0.9.6-r9.apk
2024-04-30 03:05
4.6K
horizon-dbg-0.9.6-r9.apk
2024-04-30 03:05
3.4M
horizon-0.9.6-r9.apk
2024-04-30 03:05
208K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-04-30 03:05
358K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-04-30 03:05
205K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-04-30 03:05
116K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-04-30 03:05
1.7K
hamster-time-tracker-3.0.3-r2.apk
2024-04-30 03:05
156K
gaupol-pyc-1.12-r2.apk
2024-04-30 03:05
419K
gaupol-lang-1.12-r2.apk
2024-04-30 03:05
276K
gaupol-doc-1.12-r2.apk
2024-04-30 03:05
2.1K
gaupol-1.12-r2.apk
2024-04-30 03:05
276K
gammastep-pyc-2.0.9-r3.apk
2024-04-30 03:05
17K
gammastep-lang-2.0.9-r3.apk
2024-04-30 03:05
77K
gammastep-doc-2.0.9-r3.apk
2024-04-30 03:05
14K
gammastep-2.0.9-r3.apk
2024-04-30 03:05
89K
frescobaldi-pyc-3.3.0-r1.apk
2024-04-30 03:05
1.2M
frescobaldi-doc-3.3.0-r1.apk
2024-04-30 03:05
2.2K
frescobaldi-3.3.0-r1.apk
2024-04-30 03:05
3.5M
fabric-pyc-3.2.2-r1.apk
2024-04-30 03:05
60K
fabric-3.2.2-r1.apk
2024-04-30 03:05
54K
extrace-doc-0.9-r0.apk
2024-04-30 03:05
3.3K
extrace-0.9-r0.apk
2024-04-30 03:05
9.6K
epr-pyc-2.4.15-r1.apk
2024-04-30 03:05
24K
epr-2.4.15-r1.apk
2024-04-30 03:05
15K
dcnnt-pyc-0.10.0-r1.apk
2024-04-30 03:05
61K
dcnnt-doc-0.10.0-r1.apk
2024-04-30 03:05
6.3K
dcnnt-0.10.0-r1.apk
2024-04-30 03:05
28K
daktilo-zsh-completion-0.6.0-r0.apk
2024-04-30 03:05
2.0K
daktilo-fish-completion-0.6.0-r0.apk
2024-04-30 03:05
1.7K
daktilo-doc-0.6.0-r0.apk
2024-04-30 03:05
8.4K
daktilo-bash-completion-0.6.0-r0.apk
2024-04-30 03:05
1.9K
daktilo-0.6.0-r0.apk
2024-04-30 03:05
1.7M
caffeine-ng-lang-4.2.0-r1.apk
2024-04-30 03:05
34K
caffeine-ng-doc-4.2.0-r1.apk
2024-04-30 03:05
2.9K
caffeine-ng-4.2.0-r1.apk
2024-04-30 03:05
100K
bump2version-pyc-1.0.1-r6.apk
2024-04-30 03:05
29K
bump2version-1.0.1-r6.apk
2024-04-30 03:05
21K
btfs-doc-2.24-r12.apk
2024-04-30 03:05
2.1K
btfs-2.24-r12.apk
2024-04-30 03:05
30K
bdfr-2.6.2-r1.apk
2024-04-30 03:05
131K
ansible-bender-pyc-0.10.1-r2.apk
2024-04-30 03:05
65K
ansible-bender-doc-0.10.1-r2.apk
2024-04-30 03:05
9.8K
ansible-bender-0.10.1-r2.apk
2024-04-30 03:05
36K
amule-lang-2.3.3-r13.apk
2024-04-30 03:05
1.6M
amule-doc-2.3.3-r13.apk
2024-04-30 03:05
281K
amule-2.3.3-r13.apk
2024-04-30 03:05
4.1M
amiitool-2-r2.apk
2024-04-30 03:05
7.0K
hexedit-doc-1.6_git20230905-r0.apk
2024-04-04 17:03
5.3K
hexedit-1.6_git20230905-r0.apk
2024-04-04 17:03
18K
emacs-persist-0.6_git20240114-r0.apk
2024-04-02 22:19
6.4K
detox-doc-2.0.0-r0.apk
2024-04-01 22:11
20K
detox-2.0.0-r0.apk
2024-04-01 22:11
113K
xlhtml-doc-0.5.1-r0.apk
2024-03-30 18:39
2.2K
xlhtml-0.5.1-r0.apk
2024-03-30 18:39
11K
tnef-doc-1.4.18-r0.apk
2024-03-30 18:39
4.0K
tnef-1.4.18-r0.apk
2024-03-30 18:39
25K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-03-29 12:33
30K
libhomfly-dev-1.02_p6-r1.apk
2024-03-29 12:33
28K
libhomfly-1.02_p6-r1.apk
2024-03-29 12:33
15K
xosview-doc-1.24-r0.apk
2024-03-25 16:45
12K
xosview-1.24-r0.apk
2024-03-25 16:45
118K
uxn-doc-1.0-r0.apk
2024-03-25 13:20
3.9K
uxn-1.0-r0.apk
2024-03-25 13:20
44K
milkytracker-doc-1.04.00-r2.apk
2024-03-25 13:20
50K
milkytracker-1.04.00-r2.apk
2024-03-25 13:20
1.0M
krita-plugin-gmic-3.2.4.1-r3.apk
2024-03-25 13:20
2.7M
hwatch-zsh-completion-0.3.11-r0.apk
2024-03-25 13:20
1.7K
hwatch-fish-completion-0.3.11-r0.apk
2024-03-25 13:20
1.6K
hwatch-doc-0.3.11-r0.apk
2024-03-25 13:20
2.8K
hwatch-0.3.11-r0.apk
2024-03-25 13:20
968K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-03-25 13:20
68K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-03-25 13:20
79K
atlantik-3.5.10_git20240323-r0.apk
2024-03-25 13:20
381K
ssh-tools-1.8-r0.apk
2024-03-16 22:31
25K
minisatip-openrc-1.3.4-r0.apk
2024-03-15 06:36
1.6K
minisatip-1.3.4-r0.apk
2024-03-15 06:36
325K
linux-apfs-rw-src-0.3.8-r0.apk
2024-03-15 06:36
197K
espeakup-openrc-0.90-r2.apk
2024-03-14 00:01
1.6K
espeakup-0.90-r2.apk
2024-03-14 00:01
12K
perl-net-irr-doc-0.10-r0.apk
2024-03-13 17:15
4.9K
perl-net-irr-0.10-r0.apk
2024-03-13 17:15
5.2K
finger-doc-0.5-r0.apk
2024-03-09 10:12
3.5K
finger-0.5-r0.apk
2024-03-09 10:12
6.3K
fnf-doc-0.1-r0.apk
2024-03-09 08:45
4.3K
fnf-0.1-r0.apk
2024-03-09 08:45
16K
nuklear-doc-4.12.0-r0.apk
2024-03-03 08:17
42K
nuklear-4.12.0-r0.apk
2024-03-03 08:17
220K
innernet-zsh-completion-1.6.1-r0.apk
2024-03-03 08:17
5.2K
innernet-openrc-1.6.1-r0.apk
2024-03-03 08:17
2.0K
innernet-fish-completion-1.6.1-r0.apk
2024-03-03 08:17
4.3K
innernet-doc-1.6.1-r0.apk
2024-03-03 08:17
8.8K
innernet-bash-completion-1.6.1-r0.apk
2024-03-03 08:17
3.6K
innernet-1.6.1-r0.apk
2024-03-03 08:17
2.7M
getssl-2.48-r0.apk
2024-03-03 08:17
82K
font-tinos-0_git20210228-r0.apk
2024-03-03 08:17
198K
font-stix-ttf-2.13-r0.apk
2024-03-03 08:17
430K
font-stix-otf-2.13-r0.apk
2024-03-03 08:17
2.0M
font-cousine-0_git20210228-r0.apk
2024-03-03 08:17
110K
cvs-fast-export-tools-1.65-r0.apk
2024-03-03 08:17
8.4K
cvs-fast-export-doc-1.65-r0.apk
2024-03-03 08:17
17K
cvs-fast-export-1.65-r0.apk
2024-03-03 08:17
49K
clevis-extra-pins-0_git20230629-r0.apk
2024-03-03 08:17
4.4K
armagetronad-doc-0.2.9.1.1-r0.apk
2024-03-03 08:17
92K
armagetronad-0.2.9.1.1-r0.apk
2024-03-03 08:17
1.6M
libvmaf-dev-3.0.0-r0.apk
2024-02-05 22:19
272K
libvmaf-3.0.0-r0.apk
2024-02-05 22:19
329K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-02-05 17:13
2.9K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-02-05 17:13
2.7K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-02-05 17:13
11K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-02-05 17:13
2.5K
perl-net-curl-promiser-0.20-r0.apk
2024-02-05 17:13
8.6K
xdg-ninja-0.2.0.2-r0.apk
2024-02-05 07:17
70K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-02-03 10:56
4.9K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-02-03 10:56
4.9K
perl-ffi-c-doc-0.15-r0.apk
2024-02-03 10:56
28K
perl-ffi-c-0.15-r0.apk
2024-02-03 10:56
20K
libucl-doc-0.9.0-r0.apk
2024-02-02 22:16
8.6K
libucl-dev-0.9.0-r0.apk
2024-02-02 22:16
140K
libucl-0.9.0-r0.apk
2024-02-02 22:16
54K
xmppipe-0.16.0-r1.apk
2024-02-01 21:59
16K
nano-hare-0_git20231021-r0.apk
2024-01-31 17:23
1.9K
buildcache-0.28.9-r0.apk
2024-01-30 16:20
818K
mangr0ve-doc-0.1.2-r0.apk
2024-01-29 03:20
14K
mangr0ve-0.1.2-r0.apk
2024-01-29 03:20
2.5K
angband-4.2.5-r0.apk
2024-01-27 23:43
23M
apk-snap-doc-3.1.1-r0.apk
2024-01-22 16:55
20K
apk-snap-3.1.1-r0.apk
2024-01-22 16:55
6.4K
moe-doc-1.14-r0.apk
2024-01-21 04:29
19K
moe-1.14-r0.apk
2024-01-21 04:29
105K
jsmn-1.1.0-r2.apk
2024-01-19 18:04
4.5K
dnsperf-doc-2.14.0-r0.apk
2024-01-19 10:41
35K
dnsperf-2.14.0-r0.apk
2024-01-19 10:41
72K
tty-clock-doc-2.3_git20240104-r0.apk
2024-01-19 05:11
2.9K
tty-clock-2.3_git20240104-r0.apk
2024-01-19 05:11
8.0K
cargo-run-bin-doc-1.7.2-r0.apk
2024-01-19 03:19
4.8K
cargo-run-bin-1.7.2-r0.apk
2024-01-19 03:19
426K
abc-0_git20240102-r0.apk
2024-01-19 01:24
5.1M
vkbasalt-doc-0.3.2.10-r0.apk
2024-01-19 00:48
2.8K
vkbasalt-0.3.2.10-r0.apk
2024-01-19 00:48
373K
timewarrior-doc-1.7.1-r0.apk
2024-01-17 23:58
22K
timewarrior-1.7.1-r0.apk
2024-01-17 23:58
269K
ddgr-zsh-completion-2.2-r0.apk
2024-01-17 17:50
2.4K
ddgr-fish-completion-2.2-r0.apk
2024-01-17 17:50
2.0K
ddgr-doc-2.2-r0.apk
2024-01-17 17:50
11K
ddgr-bash-completion-2.2-r0.apk
2024-01-17 17:50
2.0K
ddgr-2.2-r0.apk
2024-01-17 17:50
20K
perl-openapi-client-doc-1.07-r0.apk
2024-01-14 13:53
7.1K
perl-openapi-client-1.07-r0.apk
2024-01-14 13:53
8.4K
faultstat-doc-0.01.11-r0.apk
2024-01-13 13:45
2.8K
faultstat-bash-completion-0.01.11-r0.apk
2024-01-13 13:45
2.0K
faultstat-0.01.11-r0.apk
2024-01-13 13:45
12K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-01-12 05:29
747K
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-01-12 05:29
1.1M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-01-12 05:29
1.0M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-01-12 05:29
39K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-01-12 05:29
2.1M
prjtrellis-db-0_git20230929-r0.apk
2024-01-12 05:29
3.0K
font-babelstone-han-15.1.3-r0.apk
2024-01-10 23:28
18M
swaks-doc-20240103.0-r0.apk
2024-01-08 09:07
49K
swaks-20240103.0-r0.apk
2024-01-08 09:07
66K
checkpolicy-doc-3.6-r0.apk
2024-01-07 23:46
3.9K
checkpolicy-3.6-r0.apk
2024-01-07 23:46
370K
empede-openrc-0.2.3-r0.apk
2024-01-07 02:56
1.7K
empede-doc-0.2.3-r0.apk
2024-01-07 02:56
2.0K
empede-0.2.3-r0.apk
2024-01-07 02:56
1.6M
repgrep-zsh-completion-0.15.0-r0.apk
2024-01-04 20:37
1.4K
repgrep-fish-completion-0.15.0-r0.apk
2024-01-04 20:37
3.9K
repgrep-doc-0.15.0-r0.apk
2024-01-04 20:37
6.2K
repgrep-bash-completion-0.15.0-r0.apk
2024-01-04 20:37
1.4K
repgrep-0.15.0-r0.apk
2024-01-04 20:37
1.2M
powerstat-doc-0.04.01-r0.apk
2024-01-03 14:00
4.0K
powerstat-bash-completion-0.04.01-r0.apk
2024-01-03 14:00
2.0K
powerstat-0.04.01-r0.apk
2024-01-03 14:00
19K
perl-file-rename-doc-2.02-r0.apk
2024-01-01 13:23
12K
perl-file-rename-2.02-r0.apk
2024-01-01 13:23
7.3K
imapfilter-doc-2.8.2-r0.apk
2023-12-31 20:40
13K
imapfilter-2.8.2-r0.apk
2023-12-31 20:40
40K
font-commit-mono-1.143-r0.apk
2023-12-31 16:48
251K
cgiirc-0.5.12-r1.apk
2023-12-25 17:27
132K
dasht-zsh-completion-2.4.0-r0.apk
2023-12-24 04:13
1.8K
dasht-doc-2.4.0-r0.apk
2023-12-24 04:13
11K
dasht-2.4.0-r0.apk
2023-12-24 04:13
14K
vym-doc-2.9.26-r0.apk
2023-12-23 09:03
3.4M
vym-2.9.26-r0.apk
2023-12-23 09:03
2.8M
tree-sitter-just-0_git20230318-r0.apk
2023-12-23 09:03
15K
sqliteodbc-0.99991-r0.apk
2023-12-23 09:03
88K
lsix-1.8.2-r0.apk
2023-12-23 09:03
6.3K
kondo-zsh-completion-0.8-r0.apk
2023-12-20 23:50
2.2K
kondo-fish-completion-0.8-r0.apk
2023-12-20 23:50
1.8K
kondo-bash-completion-0.8-r0.apk
2023-12-20 23:50
1.8K
kondo-0.8-r0.apk
2023-12-20 23:50
649K
php83-pecl-apfd-1.0.3-r0.apk
2023-12-20 18:35
4.2K
php82-pecl-apfd-1.0.3-r0.apk
2023-12-20 18:35
4.2K
x11docker-doc-7.6.0-r1.apk
2023-12-19 22:12
9.1K
x11docker-7.6.0-r1.apk
2023-12-19 22:12
113K
paperkey-doc-1.6-r2.apk
2023-12-19 22:12
4.3K
paperkey-1.6-r2.apk
2023-12-19 22:12
18K
dnscrypt-wrapper-0.4.2-r3.apk
2023-12-19 22:12
31K
udpt-openrc-3.1.2-r0.apk
2023-12-19 06:47
1.5K
udpt-3.1.2-r0.apk
2023-12-19 06:47
698K
postgresql-hll-bitcode-2.18-r0.apk
2023-12-17 22:32
55K
postgresql-hll-2.18-r0.apk
2023-12-17 22:32
27K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2023-12-16 03:06
2.0K
tree-sitter-caddy-0_git20230322-r0.apk
2023-12-16 03:06
77K
z-doc-1.12-r0.apk
2023-12-14 17:55
3.7K
z-1.12-r0.apk
2023-12-14 17:55
4.3K
xfce4-mixer-lang-4.18.1-r2.apk
2023-12-14 17:55
59K
xfce4-mixer-doc-4.18.1-r2.apk
2023-12-14 17:55
2.2K
xfce4-mixer-4.18.1-r2.apk
2023-12-14 17:55
86K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2023-12-14 17:55
2.0K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2023-12-14 17:55
16K
uasm-2.56.2-r0.apk
2023-12-14 17:55
300K
toapk-1.0-r0.apk
2023-12-14 17:55
10K
tmpmail-doc-1.2.3-r2.apk
2023-12-14 17:55
3.0K
tmpmail-1.2.3-r2.apk
2023-12-14 17:55
6.8K
snore-doc-0.3.1-r0.apk
2023-12-14 17:55
2.8K
snore-0.3.1-r0.apk
2023-12-14 17:55
4.2K
sflowtool-doc-6.02-r0.apk
2023-12-14 17:55
9.1K
sflowtool-6.02-r0.apk
2023-12-14 17:55
44K
sentinel-minipot-openrc-2.3.0-r1.apk
2023-12-14 17:55
2.3K
sentinel-minipot-2.3.0-r1.apk
2023-12-14 17:55
41K
rinetd-openrc-0.73-r0.apk
2023-12-14 17:55
1.5K
rinetd-doc-0.73-r0.apk
2023-12-14 17:55
16K
rinetd-0.73-r0.apk
2023-12-14 17:55
14K
qtpass-doc-1.4.0-r0.apk
2023-12-14 17:55
1.9K
qtpass-1.4.0-r0.apk
2023-12-14 17:55
434K
plib-1.8.5-r3.apk
2023-12-14 17:55
1.4M
php83-pecl-zmq-1.1.4-r0.apk
2023-12-14 17:55
32K
phoronix-test-suite-doc-10.8.4-r2.apk
2023-12-14 17:55
287K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2023-12-14 17:55
1.5K
phoronix-test-suite-10.8.4-r2.apk
2023-12-14 17:55
3.9M
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2023-12-14 17:55
3.7K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2023-12-14 17:55
4.8K
openfpgaloader-0.11.0-r0.apk
2023-12-14 17:55
2.0M
nitro-dev-2.7_beta8-r2.apk
2023-12-14 17:55
190K
nitro-2.7_beta8-r2.apk
2023-12-14 17:55
538K
logc-libs-dev-0.1.0-r0.apk
2023-12-14 17:55
6.1K
logc-libs-0.1.0-r0.apk
2023-12-14 17:55
1.2K
logc-libevent-0.1.0-r0.apk
2023-12-14 17:55
3.1K
logc-czmq-0.1.0-r0.apk
2023-12-14 17:55
3.7K
libmysofa-tools-1.3.2-r0.apk
2023-12-14 17:55
1.1M
libmysofa-dev-1.3.2-r0.apk
2023-12-14 17:55
6.8K
libmysofa-1.3.2-r0.apk
2023-12-14 17:55
26K
libcorkipset-tools-1.1.1-r4.apk
2023-12-14 17:55
11K
libcorkipset-dev-1.1.1-r4.apk
2023-12-14 17:55
7.8K
libcorkipset-1.1.1-r4.apk
2023-12-14 17:55
13K
libcork-tools-0.15.0-r7.apk
2023-12-14 17:55
4.5K
libcork-dev-0.15.0-r7.apk
2023-12-14 17:55
30K
libcork-0.15.0-r7.apk
2023-12-14 17:55
36K
libbamf-doc-0.5.6-r1.apk
2023-12-14 17:55
31K
libbamf-dev-0.5.6-r1.apk
2023-12-14 17:55
6.2K
libbamf-0.5.6-r1.apk
2023-12-14 17:55
151K
khronos-lang-4.0.1-r0.apk
2023-12-14 17:55
25K
khronos-4.0.1-r0.apk
2023-12-14 17:55
53K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2023-12-14 17:55
231K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2023-12-14 17:55
11K
harminv-libs-1.4.2-r1.apk
2023-12-14 17:55
25K
harminv-doc-1.4.2-r1.apk
2023-12-14 17:55
5.4K
harminv-dev-1.4.2-r1.apk
2023-12-14 17:55
2.9K
harminv-1.4.2-r1.apk
2023-12-14 17:55
7.2K
gnome-metronome-lang-1.3.0-r0.apk
2023-12-14 17:55
24K
gnome-metronome-1.3.0-r0.apk
2023-12-14 17:55
473K
gloox-dev-1.0.28-r0.apk
2023-12-14 17:55
1.6M
gloox-1.0.28-r0.apk
2023-12-14 17:55
380K
charls-dev-2.4.2-r0.apk
2023-12-14 17:54
26K
charls-2.4.2-r0.apk
2023-12-14 17:54
67K
base64c-dev-0.2.1-r0.apk
2023-12-14 17:54
5.9K
base64c-0.2.1-r0.apk
2023-12-14 17:54
4.0K
azure-iot-sdk-c-static-1.11.0-r0.apk
2023-12-14 17:54
1.2M
anari-sdk-static-0.7.2-r0.apk
2023-12-14 17:54
95K
anari-sdk-dev-0.7.2-r0.apk
2023-12-14 17:54
56K
anari-sdk-0.7.2-r0.apk
2023-12-14 17:54
187K
libhwpwm-doc-0.4.4-r0.apk
2023-09-28 20:50
13K
libhwpwm-dev-0.4.4-r0.apk
2023-09-28 20:50
7.5K
libhwpwm-0.4.4-r0.apk
2023-09-28 20:50
5.1K
bonzomatic-20230615-r0.apk
2023-09-28 07:17
650K
kjv-0_git20221103-r0.apk
2023-09-25 06:51
1.5M
cutechess-doc-1.3.1-r0.apk
2023-09-24 20:53
3.3K
cutechess-cli-doc-1.3.1-r0.apk
2023-09-24 20:53
6.3K
cutechess-cli-1.3.1-r0.apk
2023-09-24 20:53
343K
cutechess-1.3.1-r0.apk
2023-09-24 20:53
1.1M
libuninameslist-doc-20230916-r0.apk
2023-09-18 06:56
1.8K
libuninameslist-dev-20230916-r0.apk
2023-09-18 06:56
3.2K
libuninameslist-20230916-r0.apk
2023-09-18 06:56
463K
php83-pecl-jsmin-3.0.0-r0.apk
2023-09-18 01:36
10K
php82-pecl-jsmin-3.0.0-r0.apk
2023-09-18 01:36
10K
php81-pecl-jsmin-3.0.0-r0.apk
2023-09-18 01:36
10K
font-intel-one-mono-1.3.0-r0.apk
2023-09-18 01:29
281K
perl-starman-doc-0.4017-r0.apk
2023-09-14 13:49
9.7K
perl-starman-0.4017-r0.apk
2023-09-14 13:49
13K
devil-dev-1.8.0-r0.apk
2023-09-12 12:25
13K
devil-1.8.0-r0.apk
2023-09-12 12:25
257K
theme.sh-doc-1.1.5-r0.apk
2023-09-05 11:48
2.1K
theme.sh-1.1.5-r0.apk
2023-09-05 11:48
39K
speedtest-examples-5.2.5-r1.apk
2023-09-04 14:07
13K
speedtest-doc-5.2.5-r1.apk
2023-09-04 14:07
18K
speedtest-5.2.5-r1.apk
2023-09-04 14:07
253K
perl-email-abstract-doc-3.010-r0.apk
2023-09-03 20:14
13K
perl-email-abstract-3.010-r0.apk
2023-09-03 20:14
7.4K
libofx-tools-0.10.9-r1.apk
2023-08-26 18:31
105K
libofx-dev-0.10.9-r1.apk
2023-08-26 18:31
19K
libofx-0.10.9-r1.apk
2023-08-26 18:31
65K
sqm-scripts-1.6.0-r0.apk
2023-08-22 22:47
20K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2023-08-22 12:23
3.0K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2023-08-22 12:23
1.9K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2023-08-22 12:23
19K
shutdown-clear-machine-id-1.0.0-r0.apk
2023-08-21 13:43
1.5K
avra-dev-1.4.2-r0.apk
2023-08-21 07:36
254K
avra-1.4.2-r0.apk
2023-08-21 07:36
40K
xmp-doc-4.2.0-r0.apk
2023-08-21 00:16
5.1K
xmp-4.2.0-r0.apk
2023-08-21 00:16
23K
zsh-manydots-magic-0_git20230607-r1.apk
2023-08-19 21:23
2.6K
zarchive-libs-0.1.2-r2.apk
2023-08-19 21:23
24K
zarchive-dev-0.1.2-r2.apk
2023-08-19 21:23
6.5K
zarchive-0.1.2-r2.apk
2023-08-19 21:23
15K
surfraw-doc-2.3.0-r0.apk
2023-08-19 21:23
17K
surfraw-2.3.0-r0.apk
2023-08-19 21:23
78K
starfighter-doc-2.4-r0.apk
2023-08-19 21:23
21K
starfighter-2.4-r0.apk
2023-08-19 21:23
48M
rtmidi-dev-6.0.0-r0.apk
2023-08-19 21:23
14K
rtmidi-6.0.0-r0.apk
2023-08-19 21:23
30K
rauc-service-1.10.1-r0.apk
2023-08-19 21:23
3.5K
rauc-doc-1.10.1-r0.apk
2023-08-19 21:23
3.9K
rauc-1.10.1-r0.apk
2023-08-19 21:23
142K
queercat-1.0.0-r0.apk
2023-08-19 21:23
7.2K
perl-number-tolerant-doc-1.710-r0.apk
2023-08-19 21:23
25K
perl-number-tolerant-1.710-r0.apk
2023-08-19 21:23
15K
mongo-cxx-driver-dev-3.8.0-r0.apk
2023-08-19 21:23
89K
mongo-cxx-driver-3.8.0-r0.apk
2023-08-19 21:23
183K
libmpfi-static-1.5.4-r2.apk
2023-08-19 21:23
95K
libmpfi-doc-1.5.4-r2.apk
2023-08-19 21:23
18K
libmpfi-dev-1.5.4-r2.apk
2023-08-19 21:23
5.1K
libmpfi-1.5.4-r2.apk
2023-08-19 21:23
36K
libgivaro-static-4.2.0-r2.apk
2023-08-19 21:23
168K
libgivaro-dev-4.2.0-r2.apk
2023-08-19 21:23
244K
libgivaro-4.2.0-r2.apk
2023-08-19 21:23
78K
libbsoncxx-dev-3.8.0-r0.apk
2023-08-19 21:23
38K
libbsoncxx-3.8.0-r0.apk
2023-08-19 21:23
45K
igrep-doc-1.2.0-r0.apk
2023-08-19 21:23
3.9K
igrep-1.2.0-r0.apk
2023-08-19 21:23
1.6M
gfan-0.6.2-r1.apk
2023-08-19 21:23
1.6M
fakeroot-tcp-1.32.1-r1.apk
2023-08-19 21:23
32K
libaudec-tools-0.3.4-r3.apk
2023-07-30 14:12
28K
libaudec-static-0.3.4-r3.apk
2023-07-30 14:12
46K
libaudec-dev-0.3.4-r3.apk
2023-07-30 14:12
4.0K
libaudec-0.3.4-r3.apk
2023-07-30 14:12
28K
jalv-gtk-1.6.8-r1.apk
2023-07-30 14:12
33K
jalv-doc-1.6.8-r1.apk
2023-07-30 14:12
2.9K
jalv-1.6.8-r1.apk
2023-07-30 14:12
51K
irccd-openrc-4.0.3-r0.apk
2023-07-30 14:12
1.6K
irccd-doc-4.0.3-r0.apk
2023-07-30 14:12
80K
irccd-dev-4.0.3-r0.apk
2023-07-30 14:12
9.4K
irccd-4.0.3-r0.apk
2023-07-30 14:12
269K
rtw89-src-7_p20230725-r0.apk
2023-07-26 11:13
759K
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2023-07-26 11:13
4.0M
extremetuxracer-doc-0.8.3-r0.apk
2023-07-25 15:16
6.4K
extremetuxracer-0.8.3-r0.apk
2023-07-25 15:16
40M
jhead-doc-3.08-r0.apk
2023-07-23 15:59
7.6K
jhead-3.08-r0.apk
2023-07-23 15:59
34K
hypnotix-lang-3.5-r0.apk
2023-07-18 03:26
72K
hypnotix-3.5-r0.apk
2023-07-18 03:26
110K
perl-statistics-descriptive-doc-3.0801-r0.apk
2023-07-15 03:32
37K
perl-statistics-descriptive-3.0801-r0.apk
2023-07-15 03:32
30K
dfu-programmer-doc-1.1.0-r0.apk
2023-07-10 22:22
5.5K
dfu-programmer-bash-completion-1.1.0-r0.apk
2023-07-10 22:22
2.5K
dfu-programmer-1.1.0-r0.apk
2023-07-10 22:22
35K
watershot-0.2.0-r0.apk
2023-07-06 19:21
1.6M
perl-url-encode-doc-0.03-r4.apk
2023-07-06 19:21
4.4K
perl-url-encode-0.03-r4.apk
2023-07-06 19:21
4.9K
perl-throwable-doc-1.001-r1.apk
2023-07-06 19:21
7.7K
perl-throwable-1.001-r1.apk
2023-07-06 19:21
6.0K
perl-text-brew-doc-0.02-r5.apk
2023-07-06 19:21
3.9K
perl-text-brew-0.02-r5.apk
2023-07-06 19:21
4.3K
perl-test-trap-doc-0.3.5-r1.apk
2023-07-06 19:21
20K
perl-test-trap-0.3.5-r1.apk
2023-07-06 19:21
20K
perl-test-roo-doc-1.004-r3.apk
2023-07-06 19:21
15K
perl-test-roo-1.004-r3.apk
2023-07-06 19:21
12K
perl-test-modern-doc-0.013-r3.apk
2023-07-06 19:21
9.6K
perl-test-modern-0.013-r3.apk
2023-07-06 19:21
14K
perl-test-distribution-doc-2.00-r1.apk
2023-07-06 19:21
5.8K
perl-test-distribution-2.00-r1.apk
2023-07-06 19:21
7.5K
perl-test-api-doc-0.010-r2.apk
2023-07-06 19:21
4.0K
perl-test-api-0.010-r2.apk
2023-07-06 19:21
4.8K
perl-term-ui-doc-0.50-r1.apk
2023-07-06 19:21
8.2K
perl-term-ui-0.50-r1.apk
2023-07-06 19:21
9.7K
perl-template-plugin-number-format-doc-1.06-r4.apk
2023-07-06 19:21
4.1K
perl-template-plugin-number-format-1.06-r4.apk
2023-07-06 19:21
4.6K
perl-template-plugin-csv-doc-0.04-r3.apk
2023-07-06 19:21
2.8K
perl-template-plugin-csv-0.04-r3.apk
2023-07-06 19:21
2.5K
perl-string-camelcase-doc-0.04-r2.apk
2023-07-06 19:21
3.2K
perl-string-camelcase-0.04-r2.apk
2023-07-06 19:21
2.9K
perl-sql-abstract-classic-doc-1.91-r1.apk
2023-07-06 19:21
20K
perl-sql-abstract-classic-1.91-r1.apk
2023-07-06 19:21
29K
perl-sort-naturally-doc-1.03-r4.apk
2023-07-06 19:21
5.2K
perl-sort-naturally-1.03-r4.apk
2023-07-06 19:21
8.4K
perl-soap-lite-doc-1.27-r5.apk
2023-07-06 19:21
90K
perl-soap-lite-1.27-r5.apk
2023-07-06 19:21
110K
perl-session-storage-secure-doc-1.000-r2.apk
2023-07-06 19:21
7.1K
perl-session-storage-secure-1.000-r2.apk
2023-07-06 19:21
8.6K
perl-pod-tidy-doc-0.10-r1.apk
2023-07-06 19:21
10K
perl-pod-tidy-0.10-r1.apk
2023-07-06 19:21
10K
perl-pod-cpandoc-doc-0.16-r6.apk
2023-07-06 19:21
4.6K
perl-pod-cpandoc-0.16-r6.apk
2023-07-06 19:21
4.3K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2023-07-06 19:21
2.8K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2023-07-06 19:21
2.9K
perl-plack-middleware-expires-doc-0.06-r3.apk
2023-07-06 19:21
3.1K
perl-plack-middleware-expires-0.06-r3.apk
2023-07-06 19:21
3.6K
perl-path-iter-doc-0.2-r3.apk
2023-07-06 19:21
4.9K
perl-path-iter-0.2-r3.apk
2023-07-06 19:21
5.0K
perl-number-format-doc-1.76-r1.apk
2023-07-06 19:21
8.8K
perl-number-format-1.76-r1.apk
2023-07-06 19:21
15K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2023-07-06 19:21
5.4K
perl-lwp-useragent-cached-0.08-r1.apk
2023-07-06 19:21
6.1K
perl-log-message-simple-doc-0.10-r3.apk
2023-07-06 19:21
3.7K
perl-log-message-simple-0.10-r3.apk
2023-07-06 19:21
4.0K
perl-log-message-doc-0.08-r3.apk
2023-07-06 19:21
12K
perl-log-message-0.08-r3.apk
2023-07-06 19:21
10K
perl-log-fu-doc-0.31-r4.apk
2023-07-06 19:21
7.0K
perl-log-fu-0.31-r4.apk
2023-07-06 19:21
10K
perl-io-sessiondata-1.03-r3.apk
2023-07-06 19:21
5.5K
perl-i18n-langinfo-wide-doc-9-r4.apk
2023-07-06 19:21
3.8K
perl-i18n-langinfo-wide-9-r4.apk
2023-07-06 19:21
4.0K
perl-html-tableextract-doc-2.15-r4.apk
2023-07-06 19:21
9.7K
perl-html-tableextract-2.15-r4.apk
2023-07-06 19:21
17K
perl-getopt-tabular-doc-0.3-r4.apk
2023-07-06 19:21
16K
perl-getopt-tabular-0.3-r4.apk
2023-07-06 19:21
23K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2023-07-06 19:21
21K
perl-extutils-xsbuilder-0.28-r5.apk
2023-07-06 19:21
43K
perl-email-reply-doc-1.204-r5.apk
2023-07-06 19:21
4.5K
perl-email-reply-1.204-r5.apk
2023-07-06 19:21
5.9K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2023-07-06 19:21
3.6K
perl-email-mime-attachment-stripper-1.317-r5.apk
2023-07-06 19:21
3.7K
perl-digest-bcrypt-doc-1.212-r1.apk
2023-07-06 19:21
4.9K
perl-digest-bcrypt-1.212-r1.apk
2023-07-06 19:21
5.3K
perl-dbix-introspector-doc-0.001005-r4.apk
2023-07-06 19:21
8.2K
perl-dbix-introspector-0.001005-r4.apk
2023-07-06 19:21
7.8K
perl-dbix-datasource-doc-0.02-r5.apk
2023-07-06 19:21
7.3K
perl-dbix-datasource-0.02-r5.apk
2023-07-06 19:21
4.0K
perl-dbicx-sugar-doc-0.0200-r5.apk
2023-07-06 19:21
5.1K
perl-dbicx-sugar-0.0200-r5.apk
2023-07-06 19:21
5.6K
perl-data-validate-ip-doc-0.31-r1.apk
2023-07-06 19:21
5.6K
perl-data-validate-ip-0.31-r1.apk
2023-07-06 19:21
8.6K
perl-dancer-session-cookie-doc-0.30-r2.apk
2023-07-06 19:21
3.9K
perl-dancer-session-cookie-0.30-r2.apk
2023-07-06 19:21
5.2K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2023-07-06 19:21
8.2K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2023-07-06 19:21
9.4K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2023-07-06 19:21
5.1K
perl-dancer-plugin-dbic-0.2104-r5.apk
2023-07-06 19:21
4.7K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2023-07-06 19:21
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2023-07-06 19:21
15K
perl-daemon-control-doc-0.001010-r2.apk
2023-07-06 19:21
8.0K
perl-daemon-control-0.001010-r2.apk
2023-07-06 19:21
12K
perl-crypt-saltedhash-doc-0.09-r5.apk
2023-07-06 19:21
6.1K
perl-crypt-saltedhash-0.09-r5.apk
2023-07-06 19:21
6.7K
perl-context-preserve-doc-0.03-r4.apk
2023-07-06 19:21
4.0K
perl-context-preserve-0.03-r4.apk
2023-07-06 19:21
3.6K
perl-constant-generate-doc-0.17-r5.apk
2023-07-06 19:21
6.8K
perl-constant-generate-0.17-r5.apk
2023-07-06 19:21
8.5K
perl-constant-defer-doc-6-r5.apk
2023-07-06 19:21
6.7K
perl-constant-defer-6-r5.apk
2023-07-06 19:21
7.1K
perl-class-c3-doc-0.35-r1.apk
2023-07-06 19:21
9.0K
perl-class-c3-componentised-doc-1.001002-r2.apk
2023-07-06 19:21
5.1K
perl-class-c3-componentised-1.001002-r2.apk
2023-07-06 19:21
5.3K
perl-class-c3-0.35-r1.apk
2023-07-06 19:21
9.2K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2023-07-06 19:21
7.2K
perl-class-accessor-grouped-0.10014-r2.apk
2023-07-06 19:21
12K
perl-cgi-expand-doc-2.05-r4.apk
2023-07-06 19:21
5.9K
perl-cgi-expand-2.05-r4.apk
2023-07-06 19:21
6.6K
perl-bind-config-parser-doc-0.01-r5.apk
2023-07-06 19:21
3.4K
perl-bind-config-parser-0.01-r5.apk
2023-07-06 19:21
3.6K
perl-archive-extract-doc-0.88-r1.apk
2023-07-06 19:21
6.6K
perl-archive-extract-0.88-r1.apk
2023-07-06 19:21
15K
perl-aliased-doc-0.34-r4.apk
2023-07-06 19:21
5.4K
perl-aliased-0.34-r4.apk
2023-07-06 19:21
5.4K
perl-algorithm-cron-doc-0.10-r4.apk
2023-07-06 19:21
4.3K
perl-algorithm-cron-0.10-r4.apk
2023-07-06 19:21
5.8K
perl-algorithm-c3-doc-0.11-r1.apk
2023-07-06 19:21
4.8K
perl-algorithm-c3-0.11-r1.apk
2023-07-06 19:21
5.4K
glfw-wayland-dev-3.3.8-r3.apk
2023-07-06 19:21
45K
glfw-wayland-dbg-3.3.8-r3.apk
2023-07-06 19:21
193K
glfw-wayland-3.3.8-r3.apk
2023-07-06 19:21
65K
csfml-doc-2.5.2-r0.apk
2023-07-04 13:04
204K
csfml-dev-2.5.2-r0.apk
2023-07-04 13:04
77K
csfml-2.5.2-r0.apk
2023-07-04 13:04
97K
caps2esc-0.3.2-r0.apk
2023-06-29 15:31
4.3K
torrent-file-editor-0.3.18-r0.apk
2023-06-28 01:01
361K
duc-doc-1.4.5-r0.apk
2023-06-27 18:30
8.8K
duc-1.4.5-r0.apk
2023-06-27 18:30
89K
nvimpager-zsh-completion-0.12.0-r0.apk
2023-06-22 12:22
1.6K
nvimpager-doc-0.12.0-r0.apk
2023-06-22 12:22
4.2K
nvimpager-0.12.0-r0.apk
2023-06-22 12:22
12K
drumgizmo-0.9.20-r1.apk
2023-06-22 09:05
404K
transmission-remote-gtk-lang-1.6.0-r0.apk
2023-06-20 18:09
106K
transmission-remote-gtk-doc-1.6.0-r0.apk
2023-06-20 18:09
4.0K
transmission-remote-gtk-1.6.0-r0.apk
2023-06-20 18:09
145K
viewnior-lang-1.8-r1.apk
2023-06-15 15:05
85K
viewnior-doc-1.8-r1.apk
2023-06-15 15:05
1.8K
viewnior-1.8-r1.apk
2023-06-15 15:05
72K
lolcat-1.4-r0.apk
2023-06-15 05:54
8.8K
voikko-fi-2.5-r0.apk
2023-06-13 19:35
1.6M
libreoffice-voikko-5.0_git20200127-r0.apk
2023-06-13 19:35
45K
vfd-configurations-0_git20230612-r0.apk
2023-06-13 00:33
25K
lout-doc-3.42.2-r0.apk
2023-06-12 23:36
452K
lout-3.42.2-r0.apk
2023-06-12 23:36
1.4M
alttab-doc-1.7.1-r0.apk
2023-06-10 00:28
10K
alttab-1.7.1-r0.apk
2023-06-10 00:28
38K
makeself-2.5.0-r0.apk
2023-06-09 23:37
13K
vcsh-zsh-completion-2.0.5-r0.apk
2023-06-09 00:46
2.7K
vcsh-doc-2.0.5-r0.apk
2023-06-09 00:46
27K
vcsh-bash-completion-2.0.5-r0.apk
2023-06-09 00:46
2.7K
vcsh-2.0.5-r0.apk
2023-06-09 00:46
8.5K
disfetch-3.7-r0.apk
2023-06-09 00:40
8.0K
libbloom-dev-2.0-r0.apk
2023-06-07 19:29
3.2K
libbloom-2.0-r0.apk
2023-06-07 19:29
7.4K
ip2location-doc-8.6.1-r0.apk
2023-06-07 19:29
2.4K
ip2location-dev-8.6.1-r0.apk
2023-06-07 19:29
20K
ip2location-8.6.1-r0.apk
2023-06-07 19:29
23K
somebar-doc-1.0.3-r0.apk
2023-06-04 20:00
2.2K
somebar-1.0.3-r0.apk
2023-06-04 20:00
46K
kfc-0.1.4-r0.apk
2023-06-03 04:29
57K
pxmenu-1.0.0-r1.apk
2023-06-02 08:12
2.6K
pithos-pyc-1.6.1-r0.apk
2023-06-02 02:25
154K
pithos-doc-1.6.1-r0.apk
2023-06-02 02:25
1.8K
pithos-1.6.1-r0.apk
2023-06-02 02:25
104K
noggin-model-lightweight-0.1-r0.apk
2023-06-02 02:25
1.7M
noggin-model-0.1-r0.apk
2023-06-02 02:25
12M
newsyslog-doc-1.2.0.91-r1.apk
2023-06-02 02:25
24K
newsyslog-1.2.0.91-r1.apk
2023-06-02 02:25
17K
pw-volume-0.5.0-r1.apk
2023-05-24 10:51
306K
pokoy-doc-0.2.5-r0.apk
2023-05-23 00:58
2.7K
pokoy-0.2.5-r0.apk
2023-05-23 00:58
8.4K
ustr-static-1.0.4-r1.apk
2023-05-21 14:09
356K
ustr-doc-1.0.4-r1.apk
2023-05-21 14:09
96K
ustr-dev-1.0.4-r1.apk
2023-05-21 14:09
91K
ustr-debug-1.0.4-r1.apk
2023-05-21 14:09
75K
ustr-1.0.4-r1.apk
2023-05-21 14:09
56K
tre-static-0.8.0-r2.apk
2023-05-21 14:09
52K
tre-dev-0.8.0-r2.apk
2023-05-21 14:09
4.9K
tre-0.8.0-r2.apk
2023-05-21 14:09
27K
termbox-static-1.1.2-r1.apk
2023-05-21 14:09
21K
termbox-dev-1.1.2-r1.apk
2023-05-21 14:09
5.5K
termbox-1.1.2-r1.apk
2023-05-21 14:09
12K
ssh-honeypot-openrc-0.1.1-r1.apk
2023-05-21 14:09
1.8K
ssh-honeypot-0.1.1-r1.apk
2023-05-21 14:09
8.6K
shellinabox-openrc-2.21-r3.apk
2023-05-21 14:09
3.3K
shellinabox-doc-2.21-r3.apk
2023-05-21 14:09
19K
shellinabox-2.21-r3.apk
2023-05-21 14:09
119K
scooper-doc-1.3-r1.apk
2023-05-21 14:09
2.3K
scooper-1.3-r1.apk
2023-05-21 14:09
506K
rgxg-doc-0.1.2-r2.apk
2023-05-21 14:09
12K
rgxg-dev-0.1.2-r2.apk
2023-05-21 14:09
3.3K
rgxg-0.1.2-r2.apk
2023-05-21 14:09
13K
restic.mk-0.4.0-r0.apk
2023-05-21 14:09
2.7K
pick-doc-4.0.0-r0.apk
2023-05-21 14:09
3.1K
pick-4.0.0-r0.apk
2023-05-21 14:09
9.2K
metalang99-1.13.3-r0.apk
2023-05-21 14:09
54K
mdnsd-static-0.12-r1.apk
2023-05-21 14:09
32K
mdnsd-openrc-0.12-r1.apk
2023-05-21 14:09
1.9K
mdnsd-libs-0.12-r1.apk
2023-05-21 14:09
18K
mdnsd-doc-0.12-r1.apk
2023-05-21 14:09
14K
mdnsd-0.12-r1.apk
2023-05-21 14:09
23K
lrcalc-libs-2.1-r1.apk
2023-05-21 14:09
26K
lrcalc-dev-2.1-r1.apk
2023-05-21 14:09
11K
lrcalc-2.1-r1.apk
2023-05-21 14:09
11K
log4cpp-dev-1.1.4-r1.apk
2023-05-21 14:09
39K
log4cpp-1.1.4-r1.apk
2023-05-21 14:09
70K
libiscsi-utils-1.19.0-r2.apk
2023-05-21 14:09
85K
libiscsi-static-1.19.0-r2.apk
2023-05-21 14:09
134K
libiscsi-doc-1.19.0-r2.apk
2023-05-21 14:09
9.0K
libiscsi-dev-1.19.0-r2.apk
2023-05-21 14:09
20K
libiscsi-1.19.0-r2.apk
2023-05-21 14:09
61K
liberasurecode-dev-1.6.3-r1.apk
2023-05-21 14:09
18K
liberasurecode-1.6.3-r1.apk
2023-05-21 14:09
41K
libecap-static-1.0.1-r1.apk
2023-05-21 14:09
27K
libecap-dev-1.0.1-r1.apk
2023-05-21 14:09
11K
libecap-1.0.1-r1.apk
2023-05-21 14:09
13K
libctl-doc-4.5.1-r1.apk
2023-05-21 14:09
2.8K
libctl-dev-4.5.1-r1.apk
2023-05-21 14:09
38K
libctl-4.5.1-r1.apk
2023-05-21 14:09
97K
libantlr3c-dev-3.4-r3.apk
2023-05-21 14:09
58K
libantlr3c-3.4-r3.apk
2023-05-21 14:09
49K
httrack-doc-3.49.2-r5.apk
2023-05-21 14:09
528K
httrack-3.49.2-r5.apk
2023-05-21 14:09
761K
handlebars-utils-1.0.0-r1.apk
2023-05-21 14:09
9.4K
handlebars-dev-1.0.0-r1.apk
2023-05-21 14:09
32K
handlebars-1.0.0-r1.apk
2023-05-21 14:09
103K
firehol-openrc-3.1.7-r2.apk
2023-05-21 14:09
1.8K
firehol-doc-3.1.7-r2.apk
2023-05-21 14:09
674K
firehol-3.1.7-r2.apk
2023-05-21 14:09
84K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2023-05-21 14:09
9.7K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2023-05-21 14:09
2.0K
cyrus-sasl-xoauth2-0.2-r1.apk
2023-05-21 14:09
6.3K
apache-mod-auth-gssapi-1.6.5-r1.apk
2023-05-21 14:09
59K
agrep-doc-0.8.0-r2.apk
2023-05-21 14:09
3.9K
agrep-0.8.0-r2.apk
2023-05-21 14:09
7.7K
kabmat-doc-2.7.0-r0.apk
2023-05-07 10:41
3.3K
kabmat-2.7.0-r0.apk
2023-05-07 10:41
58K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2023-05-05 10:59
959K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2023-05-01 23:45
3.7K
qt-wayland-shell-helpers-0.1.1-r3.apk
2023-05-01 23:45
13K
monetdb-doc-11.33.11-r4.apk
2023-05-01 23:45
321K
monetdb-dev-11.33.11-r4.apk
2023-05-01 23:45
77K
monetdb-11.33.11-r4.apk
2023-05-01 23:45
2.3M
libvisio2svg-utils-0.5.5-r3.apk
2023-05-01 23:45
115K
libvisio2svg-dev-0.5.5-r3.apk
2023-05-01 23:45
2.7K
libvisio2svg-0.5.5-r3.apk
2023-05-01 23:45
14K
cluster-glue-libs-1.0.12-r5.apk
2023-05-01 23:45
101K
cluster-glue-doc-1.0.12-r5.apk
2023-05-01 23:45
33K
cluster-glue-dev-1.0.12-r5.apk
2023-05-01 23:45
1.9M
cluster-glue-1.0.12-r5.apk
2023-05-01 23:45
240K
t2sz-1.1.2-r0.apk
2023-04-20 00:32
7.9K
psi-notify-1.3.1-r0.apk
2023-04-09 14:03
9.7K
aqemu-doc-0.9.4-r3.apk
2023-04-08 12:54
7.3K
aqemu-0.9.4-r3.apk
2023-04-08 12:54
1.6M
osmctools-0.9-r0.apk
2023-03-31 23:41
117K
vmtouch-doc-1.3.1-r0.apk
2023-03-28 14:18
7.8K
vmtouch-1.3.1-r0.apk
2023-03-28 14:18
10K
mlxl-0.1-r0.apk
2023-03-18 11:50
5.2K
qsstv-9.5.8-r2.apk
2023-03-17 20:11
922K
qoiconv-0.0.0_git20230312-r0.apk
2023-03-17 07:21
28K
qoi-dev-0.0.0_git20230312-r0.apk
2023-03-17 07:21
6.6K
qoi-0.0.0_git20230312-r0.apk
2023-03-17 07:21
1.2K
debconf-utils-1.5.82-r0.apk
2023-03-16 18:36
6.4K
debconf-lang-1.5.82-r0.apk
2023-03-16 18:36
132K
debconf-doc-1.5.82-r0.apk
2023-03-16 18:36
27K
debconf-bash-completion-1.5.82-r0.apk
2023-03-16 18:36
1.6K
debconf-1.5.82-r0.apk
2023-03-16 18:36
69K
advancemame-mess-3.9-r4.apk
2023-03-15 23:18
3.3M
advancemame-menu-3.9-r4.apk
2023-03-15 23:18
742K
advancemame-doc-3.9-r4.apk
2023-03-15 23:18
373K
advancemame-data-3.9-r4.apk
2023-03-15 23:18
5.8M
advancemame-3.9-r4.apk
2023-03-15 23:18
12M
ovpncc-doc-0.1_rc1-r0.apk
2023-03-13 13:19
6.3K
ovpncc-0.1_rc1-r0.apk
2023-03-13 13:19
12K
csol-doc-1.6.0-r0.apk
2023-03-10 22:03
3.6K
csol-1.6.0-r0.apk
2023-03-10 22:03
33K
ssdfs-tools-dev-4.09-r0.apk
2023-03-10 12:49
18K
ssdfs-tools-4.09-r0.apk
2023-03-10 12:49
93K
tmate-doc-2.4.0-r4.apk
2023-03-08 00:50
71K
tmate-2.4.0-r4.apk
2023-03-08 00:50
229K
stw-doc-0.3-r0.apk
2023-03-08 00:50
2.3K
stw-0.3-r0.apk
2023-03-08 00:50
6.7K
font-material-icons-4.0.0-r0.apk
2023-02-26 20:50
652K
nkk-doc-0_git20221010-r0.apk
2023-02-23 21:20
6.7K
nkk-dev-0_git20221010-r0.apk
2023-02-23 21:20
2.6K
nkk-0_git20221010-r0.apk
2023-02-23 21:20
12K
speedcrunch-0.12-r3.apk
2023-02-17 07:47
1.1M
clinfo-doc-3.0.23.01.25-r0.apk
2023-02-10 13:02
6.2K
clinfo-3.0.23.01.25-r0.apk
2023-02-10 13:02
41K
sblg-doc-0.5.11-r0.apk
2023-02-06 20:25
1.3M
sblg-0.5.11-r0.apk
2023-02-06 20:25
35K
zafiro-icon-theme-1.3-r0.apk
2023-02-05 04:41
19M
xvidtune-doc-1.0.4-r0.apk
2023-02-05 04:41
3.9K
xvidtune-1.0.4-r0.apk
2023-02-05 04:41
15K
enjoy-0.3-r1.apk
2023-02-03 16:25
10K
lsdvd-doc-0.17-r0.apk
2023-01-31 22:12
2.2K
lsdvd-0.17-r0.apk
2023-01-31 22:12
13K
gnome-common-3.18.0-r3.apk
2023-01-29 22:05
11K
flare-game-1.14-r0.apk
2023-01-26 20:34
1.9K
dehydrated-0.7.1-r0.apk
2023-01-20 23:16
26K
tcl-curl-doc-7.22.0-r0.apk
2023-01-18 22:04
37K
tcl-curl-7.22.0-r0.apk
2023-01-18 22:04
28K
pimd-dense-openrc-2.1.0-r0.apk
2023-01-14 02:49
1.6K
pimd-dense-doc-2.1.0-r0.apk
2023-01-14 02:49
19K
pimd-dense-2.1.0-r0.apk
2023-01-14 02:49
47K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2023-01-12 17:30
1.6K
icingaweb2-module-generictts-2.1.0-r0.apk
2023-01-12 17:30
6.3K
apt-mirror-doc-0.5.4-r0.apk
2023-01-05 23:23
4.4K
apt-mirror-0.5.4-r0.apk
2023-01-05 23:23
9.2K
font-tiresias-doc-0_git20200704-r0.apk
2023-01-02 23:53
58K
font-tiresias-0_git20200704-r0.apk
2023-01-02 23:53
568K
font-chivo-mono-0_git20221110-r0.apk
2022-12-11 11:47
626K
font-chivo-0_git20221110-r0.apk
2022-12-11 11:47
792K
admesh-doc-0.98.5-r0.apk
2022-12-05 11:15
23K
admesh-dev-0.98.5-r0.apk
2022-12-05 11:15
3.8K
admesh-0.98.5-r0.apk
2022-12-05 11:15
24K
xfd-doc-1.1.4-r0.apk
2022-12-04 12:40
4.7K
xfd-1.1.4-r0.apk
2022-12-04 12:40
11K
php82-pecl-immutable_cache-6.1.0-r0.apk
2022-12-04 04:51
36K
php81-pecl-immutable_cache-6.1.0-r0.apk
2022-12-04 04:51
36K
libwhich-1.2.0-r0.apk
2022-11-29 07:40
3.6K
linux-timemachine-1.3.2-r0.apk
2022-11-20 22:09
4.8K
php82-pecl-teds-1.3.0-r0.apk
2022-11-10 15:41
110K
console_bridge-dev-1.0.2-r0.apk
2022-11-08 21:59
4.5K
console_bridge-1.0.2-r0.apk
2022-11-08 21:59
9.0K
freediameter-libfdproto-1.5.0-r1.apk
2022-11-06 12:01
82K
freediameter-libfdcore-1.5.0-r1.apk
2022-11-06 12:01
156K
freediameter-extensions-1.5.0-r1.apk
2022-11-06 12:01
357K
freediameter-dev-1.5.0-r1.apk
2022-11-06 12:01
54K
freediameter-1.5.0-r1.apk
2022-11-06 12:01
8.2K
git-secret-doc-0.5.0-r0.apk
2022-10-28 16:18
17K
git-secret-0.5.0-r0.apk
2022-10-28 16:18
14K
termcolor-dev-2.1.0-r0.apk
2022-10-28 16:12
6.6K
termcolor-2.1.0-r0.apk
2022-10-28 16:12
1.2K
zita-njbridge-doc-0.4.8-r1.apk
2022-10-25 15:04
5.0K
zita-njbridge-0.4.8-r1.apk
2022-10-25 15:04
26K
xgalaga-doc-2.1.1.0-r1.apk
2022-10-25 15:04
2.3K
xgalaga-2.1.1.0-r1.apk
2022-10-25 15:04
282K
wpa_actiond-openrc-1.4-r7.apk
2022-10-25 15:04
2.0K
wpa_actiond-1.4-r7.apk
2022-10-25 15:04
8.4K
wlavu-0_git20201101-r1.apk
2022-10-25 15:04
10K
wl-clipboard-x11-doc-5-r3.apk
2022-10-25 15:04
2.7K
wl-clipboard-x11-5-r3.apk
2022-10-25 15:04
3.2K
volumeicon-lang-0.5.1-r1.apk
2022-10-25 15:04
3.5K
volumeicon-0.5.1-r1.apk
2022-10-25 15:04
39K
topgit-doc-0.19.13-r1.apk
2022-10-25 15:04
73K
topgit-bash-completion-0.19.13-r1.apk
2022-10-25 15:04
3.8K
topgit-0.19.13-r1.apk
2022-10-25 15:04
126K
tncattach-doc-0.1.9-r1.apk
2022-10-25 15:04
3.7K
tncattach-0.1.9-r1.apk
2022-10-25 15:04
20K
timew-doc-1.4.3-r1.apk
2022-10-25 15:04
53K
timew-bash-completion-1.4.3-r1.apk
2022-10-25 15:04
2.5K
timew-1.4.3-r1.apk
2022-10-25 15:04
259K
sthttpd-openrc-2.27.1-r2.apk
2022-10-25 15:04
1.7K
sthttpd-doc-2.27.1-r2.apk
2022-10-25 15:04
18K
sthttpd-2.27.1-r2.apk
2022-10-25 15:04
52K
sregex-dev-0.0.1-r1.apk
2022-10-25 15:04
22K
sregex-0.0.1-r1.apk
2022-10-25 15:04
18K
sqlar-doc-0_git20180107-r1.apk
2022-10-25 15:04
3.0K
sqlar-0_git20180107-r1.apk
2022-10-25 15:04
10K
simh-3.11.1-r1.apk
2022-10-25 15:04
2.7M
setroot-doc-2.0.2-r1.apk
2022-10-25 15:04
4.2K
setroot-2.0.2-r1.apk
2022-10-25 15:04
11K
sedutil-doc-1.15.1-r1.apk
2022-10-25 15:04
2.8K
sedutil-1.15.1-r1.apk
2022-10-25 15:04
194K
sdparm-doc-1.12-r1.apk
2022-10-25 15:04
19K
sdparm-1.12-r1.apk
2022-10-25 15:04
135K
sblim-wbemcli-doc-1.6.3-r1.apk
2022-10-25 15:04
4.3K
sblim-wbemcli-1.6.3-r1.apk
2022-10-25 15:04
99K
ry-zsh-completion-0.5.2-r1.apk
2022-10-25 15:04
2.0K
ry-bash-completion-0.5.2-r1.apk
2022-10-25 15:04
1.7K
ry-0.5.2-r1.apk
2022-10-25 15:04
4.4K
rtptools-doc-1.22-r2.apk
2022-10-25 15:04
12K
rtptools-1.22-r2.apk
2022-10-25 15:04
26K
remake-make-1.5-r1.apk
2022-10-25 15:04
1.3K
remake-doc-1.5-r1.apk
2022-10-25 15:04
201K
remake-dev-1.5-r1.apk
2022-10-25 15:04
2.7K
remake-1.5-r1.apk
2022-10-25 15:04
127K
reaver-wps-fork-t6x-1.6.6-r1.apk
2022-10-25 15:04
381K
pwauth-doc-2.3.11-r2.apk
2022-10-25 15:04
6.5K
pwauth-2.3.11-r2.apk
2022-10-25 15:04
3.2K
ptpd-openrc-2.3.1-r1.apk
2022-10-25 15:04
2.1K
ptpd-doc-2.3.1-r1.apk
2022-10-25 15:04
20K
ptpd-2.3.1-r1.apk
2022-10-25 15:04
168K
projectsandcastle-loader-0_git20200307-r1.apk
2022-10-25 15:04
4.5K
pqiv-doc-2.12-r1.apk
2022-10-25 15:04
12K
pqiv-2.12-r1.apk
2022-10-25 15:04
58K
pongoos-loader-0_git20210704-r1.apk
2022-10-25 15:04
2.1K
pmccabe-doc-2.8-r1.apk
2022-10-25 15:04
6.9K
pmccabe-2.8-r1.apk
2022-10-25 15:04
22K
plplot-libs-5.15.0-r2.apk
2022-10-25 15:04
182K
plplot-doc-5.15.0-r2.apk
2022-10-25 15:04
310K
plplot-dev-5.15.0-r2.apk
2022-10-25 15:04
59K
plplot-5.15.0-r2.apk
2022-10-25 15:04
31K
peg-doc-0.1.18-r1.apk
2022-10-25 15:04
13K
peg-0.1.18-r1.apk
2022-10-25 15:04
32K
pash-2.3.0-r2.apk
2022-10-25 15:04
4.0K
par-doc-1.53.0-r1.apk
2022-10-25 15:04
30K
par-1.53.0-r1.apk
2022-10-25 15:04
13K
objconv-2.52_git20210213-r2.apk
2022-10-25 15:04
240K
noice-doc-0.8-r1.apk
2022-10-25 15:04
3.1K
noice-0.8-r1.apk
2022-10-25 15:04
8.6K
noblenote-1.2.1-r1.apk
2022-10-25 15:04
409K
n30f-2.0-r3.apk
2022-10-25 15:04
6.1K
mxclient-0_git20211002-r1.apk
2022-10-25 15:04
71K
mspdebug-doc-0.25-r1.apk
2022-10-25 15:04
14K
mspdebug-0.25-r1.apk
2022-10-25 15:04
182K
mrsh-libs-0_git20210518-r1.apk
2022-10-25 15:04
52K
mrsh-dev-0_git20210518-r1.apk
2022-10-25 15:04
9.7K
mrsh-dbg-0_git20210518-r1.apk
2022-10-25 15:04
207K
mrsh-0_git20210518-r1.apk
2022-10-25 15:04
4.6K
mpdcron-zsh-completion-0.3-r1.apk
2022-10-25 15:04
2.6K
mpdcron-doc-0.3-r1.apk
2022-10-25 15:04
13K
mpdcron-dev-0.3-r1.apk
2022-10-25 15:04
95K
mpdcron-0.3-r1.apk
2022-10-25 15:04
84K
moon-buggy-doc-1.0.51-r1.apk
2022-10-25 15:04
6.9K
moon-buggy-1.0.51-r1.apk
2022-10-25 15:04
31K
mkg3a-doc-0.5.0-r1.apk
2022-10-25 15:04
2.8K
mkg3a-0.5.0-r1.apk
2022-10-25 15:04
12K
minimodem-doc-0.24-r1.apk
2022-10-25 15:04
4.9K
minimodem-0.24-r1.apk
2022-10-25 15:04
19K
memdump-doc-1.01-r1.apk
2022-10-25 15:04
2.9K
memdump-1.01-r1.apk
2022-10-25 15:04
4.8K
md5ha1-0_git20171202-r1.apk
2022-10-25 15:04
8.3K
marxan-4.0.7-r1.apk
2022-10-25 15:04
543K
lua5.4-luastatic-0.0.12-r1.apk
2022-10-25 15:04
8.2K
lua5.3-luastatic-0.0.12-r1.apk
2022-10-25 15:04
8.1K
lua5.2-luastatic-0.0.12-r1.apk
2022-10-25 15:04
8.1K
lua5.1-luastatic-0.0.12-r1.apk
2022-10-25 15:04
66K
lua-luastatic-0.0.12-r1.apk
2022-10-25 15:04
1.2K
lockrun-1.1.3-r1.apk
2022-10-25 15:04
4.6K
llmnrd-openrc-0.7-r1.apk
2022-10-25 15:04
1.6K
llmnrd-doc-0.7-r1.apk
2022-10-25 15:04
2.8K
llmnrd-0.7-r1.apk
2022-10-25 15:04
14K
liboggz-doc-1.1.1-r2.apk
2022-10-25 15:04
134K
liboggz-dev-1.1.1-r2.apk
2022-10-25 15:04
316K
liboggz-1.1.1-r2.apk
2022-10-25 15:04
72K
libmustache-0.5.0-r1.apk
2022-10-25 15:04
109K
jbigkit-doc-2.1-r2.apk
2022-10-25 15:04
7.1K
jbigkit-dev-2.1-r2.apk
2022-10-25 15:04
48K
jbigkit-2.1-r2.apk
2022-10-25 15:04
62K
isoinfo-0_git20131217-r1.apk
2022-10-25 15:04
5.6K
iprange-doc-1.0.4-r1.apk
2022-10-25 15:04
4.3K
iprange-1.0.4-r1.apk
2022-10-25 15:04
19K
initify-0_git20171210-r1.apk
2022-10-25 15:04
3.0K
imrsh-dbg-0_git20210320-r1.apk
2022-10-25 15:04
20K
imrsh-0_git20210320-r1.apk
2022-10-25 15:04
7.5K
i2util-doc-4.2.1-r1.apk
2022-10-25 15:04
4.5K
i2util-dev-4.2.1-r1.apk
2022-10-25 15:04
67K
i2util-4.2.1-r1.apk
2022-10-25 15:04
16K
hsetroot-1.0.5-r1.apk
2022-10-25 15:04
9.0K
hidrd-dev-0.2.0_git20190603-r1.apk
2022-10-25 15:04
159K
hidrd-0.2.0_git20190603-r1.apk
2022-10-25 15:04
60K
geomyidae-openrc-0.34-r2.apk
2022-10-25 15:04
1.7K
geomyidae-doc-0.34-r2.apk
2022-10-25 15:04
7.4K
geomyidae-0.34-r2.apk
2022-10-25 15:04
13K
flauschige-uhr-0.1-r1.apk
2022-10-25 15:04
3.7K
fatresize-doc-1.1.0-r1.apk
2022-10-25 15:04
15K
fatresize-1.1.0-r1.apk
2022-10-25 15:04
7.4K
fatback-doc-1.3-r2.apk
2022-10-25 15:04
16K
fatback-1.3-r2.apk
2022-10-25 15:04
24K
enlighten-doc-0.9.2-r1.apk
2022-10-25 15:04
3.3K
enlighten-0.9.2-r1.apk
2022-10-25 15:04
6.0K
eatmemory-0.1.6-r2.apk
2022-10-25 15:04
3.7K
dustracing2d-2.1.1-r1.apk
2022-10-25 15:04
5.1M
ddserver-0_git20200930-r1.apk
2022-10-25 15:04
12K
curlftpfs-doc-0.9.2-r3.apk
2022-10-25 15:04
5.9K
curlftpfs-0.9.2-r3.apk
2022-10-25 15:04
21K
ctorrent-dnh-3.3.2-r2.apk
2022-10-25 15:04
91K
crazydiskinfo-1.1.0-r1.apk
2022-10-25 15:04
34K
cowsay-doc-3.04-r2.apk
2022-10-25 15:04
3.7K
cowsay-3.04-r2.apk
2022-10-25 15:04
18K
colorpicker-0_git20201128-r1.apk
2022-10-25 15:04
3.6K
cgo-doc-0.6.1-r1.apk
2022-10-25 15:04
3.9K
cgo-0.6.1-r1.apk
2022-10-25 15:04
8.9K
catdoc-doc-0.95-r1.apk
2022-10-25 15:04
9.0K
catdoc-0.95-r1.apk
2022-10-25 15:04
101K
bwrap-oci-doc-0.2-r1.apk
2022-10-25 15:04
2.2K
bwrap-oci-0.2-r1.apk
2022-10-25 15:04
13K
bgs-doc-0.8-r1.apk
2022-10-25 15:04
2.0K
bgs-0.8-r1.apk
2022-10-25 15:04
4.7K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2022-10-25 15:04
2.5K
barnyard2-2.1.14_git20160413-r1.apk
2022-10-25 15:04
116K
backup-manager-0.7.15-r1.apk
2022-10-25 15:04
55K
atool-doc-0.39.0-r4.apk
2022-10-25 15:04
9.3K
atool-bash-completion-0.39.0-r4.apk
2022-10-25 15:04
1.8K
atool-0.39.0-r4.apk
2022-10-25 15:04
17K
afetch-doc-2.2.0-r1.apk
2022-10-25 15:04
13K
afetch-2.2.0-r1.apk
2022-10-25 15:04
7.7K
advancescan-doc-1.18-r1.apk
2022-10-25 15:04
7.0K
advancescan-1.18-r1.apk
2022-10-25 15:04
256K
wput-doc-0.6.2-r4.apk
2022-10-14 21:59
8.0K
wput-0.6.2-r4.apk
2022-10-14 21:59
34K
tinyscheme-1.42-r1.apk
2022-10-14 21:59
50K
mp3val-0.1.8-r1.apk
2022-10-14 21:59
13K
menumaker-0.99.14-r1.apk
2022-10-14 21:59
111K
libmhash-doc-0.9.9.9-r3.apk
2022-10-14 21:59
7.9K
libmhash-dev-0.9.9.9-r3.apk
2022-10-14 21:59
125K
libmhash-0.9.9.9-r3.apk
2022-10-14 21:59
102K
idesk-1-r1.apk
2022-10-14 21:59
68K
htmlcxx-dev-0.87-r1.apk
2022-10-14 21:59
20K
htmlcxx-0.87-r1.apk
2022-10-14 21:59
60K
extundelete-0.2.4-r1.apk
2022-10-14 21:59
39K
dvdbackup-lang-0.4.2-r1.apk
2022-10-14 21:59
1.2K
dvdbackup-doc-0.4.2-r1.apk
2022-10-14 21:59
7.3K
dvdbackup-0.4.2-r1.apk
2022-10-14 21:59
15K
cscope-doc-15.9-r1.apk
2022-10-14 21:59
7.2K
cscope-15.9-r1.apk
2022-10-14 21:59
150K
arj-doc-0_git20220125-r1.apk
2022-10-14 21:59
10K
arj-0_git20220125-r1.apk
2022-10-14 21:59
142K
tpp-bypass-0.8.4-r0.apk
2022-10-13 06:22
12K
terminalpp-ropen-0.8.4-r0.apk
2022-10-13 06:22
57K
terminalpp-0.8.4-r0.apk
2022-10-13 06:22
398K
ngs-vim-0.2.14-r0.apk
2022-10-13 06:22
4.6K
ngs-aws-0.2.14-r0.apk
2022-10-13 06:22
32K
ngs-0.2.14-r0.apk
2022-10-13 06:22
279K
font-tamzen-1.11.5-r1.apk
2022-10-13 06:22
62K
font-siji-20190218_git-r2.apk
2022-10-13 06:22
24K
font-fontawesome-4-4.7.0-r3.apk
2022-10-13 06:22
205K
font-anonymous-pro-1.002-r2.apk
2022-10-13 06:22
264K
witchery-0.0.3-r2.apk
2022-10-07 08:51
2.9K
wiremapper-0.10.0-r0.apk
2022-10-07 08:51
22K
tmux-resurrect-doc-4.0.0-r0.apk
2022-10-07 08:50
8.1K
tmux-resurrect-4.0.0-r0.apk
2022-10-07 08:50
14K
sigrok-cli-doc-0.7.2-r0.apk
2022-10-07 08:50
7.7K
sigrok-cli-0.7.2-r0.apk
2022-10-07 08:50
36K
shipments-0.3.0-r0.apk
2022-10-07 08:50
23K
peervpn-openrc-0.044-r5.apk
2022-10-07 08:50
1.5K
peervpn-0.044-r5.apk
2022-10-07 08:50
39K
opmsg-1.84-r1.apk
2022-10-07 08:50
261K
mpdris2-lang-0.9.1-r3.apk
2022-10-07 08:50
2.1K
mpdris2-doc-0.9.1-r3.apk
2022-10-07 08:50
15K
mpdris2-0.9.1-r3.apk
2022-10-07 08:50
15K
mktorrent-borg-doc-0.9.9-r1.apk
2022-10-07 08:50
2.2K
mktorrent-borg-0.9.9-r1.apk
2022-10-07 08:50
8.4K
mcjoin-doc-2.11-r0.apk
2022-10-07 08:49
53K
mcjoin-2.11-r0.apk
2022-10-07 08:49
20K
mbrola-3.3-r0.apk
2022-10-07 08:49
19K
lua-fn-0.1.0-r0.apk
2022-10-07 08:49
3.1K
herbe-1.0.0-r0.apk
2022-10-07 08:49
5.0K
hatop-doc-0.8.2-r0.apk
2022-10-07 08:49
2.7K
hatop-0.8.2-r0.apk
2022-10-07 08:49
18K
hashcat-doc-6.2.6-r0.apk
2022-10-07 08:49
2.1M
hashcat-6.2.6-r0.apk
2022-10-07 08:49
55M
freealut-dev-1.1.0-r1.apk
2022-10-07 08:49
27K
freealut-1.1.0-r1.apk
2022-10-07 08:49
16K
font-katex-0.16.2-r0.apk
2022-10-07 08:49
851K
font-firamath-0.3.4-r0.apk
2022-10-07 08:49
118K
font-fira-code-vf-6.2-r0.apk
2022-10-07 08:49
145K
font-fira-code-6.2-r0.apk
2022-10-07 08:49
836K
commoncpp-tools-7.0.1-r1.apk
2022-10-07 08:49
41K
commoncpp-doc-7.0.1-r1.apk
2022-10-07 08:49
15K
commoncpp-dev-7.0.1-r1.apk
2022-10-07 08:49
173K
commoncpp-7.0.1-r1.apk
2022-10-07 08:49
285K
ccze-doc-0.2.1-r1.apk
2022-10-07 08:49
8.6K
ccze-dev-0.2.1-r1.apk
2022-10-07 08:49
3.1K
ccze-0.2.1-r1.apk
2022-10-07 08:49
38K
btpd-doc-0.16-r2.apk
2022-10-07 08:49
8.1K
btpd-0.16-r2.apk
2022-10-07 08:49
64K
bitlbee-facebook-1.2.2-r0.apk
2022-10-07 08:49
49K
berry-lang-1.1.0-r0.apk
2022-10-07 08:49
93K
beard-doc-0.4-r0.apk
2022-10-07 08:49
2.2K
beard-0.4-r0.apk
2022-10-07 08:49
2.9K
anarch-doc-1.0-r1.apk
2022-10-07 08:49
18K
anarch-1.0-r1.apk
2022-10-07 08:49
94K
a2jmidid-doc-9-r3.apk
2022-10-07 08:48
3.9K
a2jmidid-9-r3.apk
2022-10-07 08:48
25K
boson-0_git20211219-r0.apk
2022-07-10 20:36
16K
lumina-desktop-textedit-1.6.2-r0.apk
2022-07-05 21:19
198K
lumina-desktop-sudo-1.6.2-r0.apk
2022-07-05 21:19
99K
lumina-desktop-screenshot-1.6.2-r0.apk
2022-07-05 21:19
172K
lumina-desktop-photo-1.6.2-r0.apk
2022-07-05 21:19
129K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2022-07-05 21:19
204K
lumina-desktop-fm-1.6.2-r0.apk
2022-07-05 21:19
404K
lumina-desktop-fileinfo-1.6.2-r0.apk
2022-07-05 21:19
164K
lumina-desktop-doc-1.6.2-r0.apk
2022-07-05 21:19
12K
lumina-desktop-coreutils-1.6.2-r0.apk
2022-07-05 21:19
839K
lumina-desktop-core-1.6.2-r0.apk
2022-07-05 21:19
9.0M
lumina-desktop-archiver-1.6.2-r0.apk
2022-07-05 21:19
171K
lumina-desktop-1.6.2-r0.apk
2022-07-05 21:19
1.2K
bitlbee-mastodon-1.4.5-r0.apk
2022-07-05 18:03
41K
libmdbx-doc-0.11.8-r0.apk
2022-07-04 16:24
8.9K
libmdbx-dev-0.11.8-r0.apk
2022-07-04 16:24
93K
libmdbx-dbg-0.11.8-r0.apk
2022-07-04 16:24
2.4M
libmdbx-0.11.8-r0.apk
2022-07-04 16:24
836K
jack_capture-0.9.73_git20210429-r2.apk
2022-07-04 16:24
30K
envsubst-0.1-r1.apk
2022-07-04 16:23
4.1K
luksmeta-doc-9-r0.apk
2022-06-17 04:36
5.5K
luksmeta-dev-9-r0.apk
2022-06-17 04:36
3.1K
luksmeta-9-r0.apk
2022-06-17 04:36
12K
fff-doc-2.2-r0.apk
2022-06-15 22:50
9.0K
fff-2.2-r0.apk
2022-06-15 22:50
11K
jdebp-redo-doc-1.4-r1.apk
2022-06-11 20:38
12K
jdebp-redo-1.4-r1.apk
2022-06-11 20:38
91K
ccrtp-doc-2.1.2-r0.apk
2022-06-04 23:06
31K
ccrtp-dev-2.1.2-r0.apk
2022-06-04 23:06
53K
ccrtp-2.1.2-r0.apk
2022-06-04 23:06
90K
p910nd-openrc-0.97-r2.apk
2022-06-04 16:56
1.8K
p910nd-doc-0.97-r2.apk
2022-06-04 16:56
3.0K
p910nd-0.97-r2.apk
2022-06-04 16:56
6.8K
luacov-html-1.0.0-r1.apk
2022-06-02 19:23
1.2K
lua5.3-luacov-html-1.0.0-r1.apk
2022-06-02 19:23
413K
lua5.2-luacov-html-1.0.0-r1.apk
2022-06-02 19:23
413K
lua5.1-luacov-html-1.0.0-r1.apk
2022-06-02 19:23
413K
ecos-dev-2.0.10-r0.apk
2022-05-24 01:27
28K
ecos-2.0.10-r0.apk
2022-05-24 01:27
36K
xload-doc-1.1.4-r0.apk
2022-05-22 17:03
3.2K
xload-1.1.4-r0.apk
2022-05-22 17:03
6.0K
php81-tideways_xhprof-5.0.4-r1.apk
2022-05-22 17:03
12K
foolsm-openrc-1.0.21-r0.apk
2022-05-22 17:02
1.5K
foolsm-doc-1.0.21-r0.apk
2022-05-22 17:02
3.9K
foolsm-1.0.21-r0.apk
2022-05-22 17:02
31K
aspell-es-1.11-r0.apk
2022-05-22 17:02
533K
tdrop-doc-0.5.0-r0.apk
2022-05-07 01:03
8.9K
tdrop-0.5.0-r0.apk
2022-05-07 01:03
12K
nbsdgames-doc-5-r0.apk
2022-05-07 01:02
9.4K
nbsdgames-5-r0.apk
2022-05-07 01:02
86K
slurm-doc-0.4.4-r0.apk
2022-05-02 02:15
2.3K
slurm-0.4.4-r0.apk
2022-05-02 02:15
13K
twemproxy-doc-0.5.0-r0.apk
2022-05-01 08:21
17K
twemproxy-0.5.0-r0.apk
2022-05-01 08:21
62K
nsnake-doc-3.0.0-r0.apk
2022-04-29 12:40
2.6K
nsnake-3.0.0-r0.apk
2022-04-29 12:40
7.9K
libretro-xrick-0_git20220331-r0.apk
2022-04-29 12:40
109K
libretro-tyrquake-0_git20220409-r0.apk
2022-04-29 12:40
355K
libretro-theodore-3.1-r0.apk
2022-04-29 12:40
866K
libretro-pocketcdg-0_git20220327-r0.apk
2022-04-29 12:40
80K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2022-04-29 12:40
484K
libretro-opera-0_git20211214-r0.apk
2022-04-29 12:40
161K
libretro-openlara-0_git20210121-r0.apk
2022-04-29 12:40
495K
libretro-nxengine-0_git20220301-r0.apk
2022-04-29 12:40
282K
libretro-mu-0_git20220317-r0.apk
2022-04-29 12:40
150K
libretro-gw-0_git20220410-r0.apk
2022-04-29 12:40
161K
libretro-gong-0_git20220319-r0.apk
2022-04-29 12:40
8.5K
libretro-fuse-0_git20220417-r0.apk
2022-04-29 12:40
857K
libretro-frodo-0_git20221221-r0.apk
2022-04-29 12:40
154K
libretro-freeintv-0_git20220319-r0.apk
2022-04-29 12:40
31K
libretro-fbneo-0_git20220416-r0.apk
2022-04-29 12:40
11M
libretro-dinothawr-0_git20220401-r0.apk
2022-04-29 12:40
123K
libretro-cap32-0_git20220419-r0.apk
2022-04-29 12:40
290K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2022-04-29 12:40
429K
libretro-beetle-saturn-0_git20220417-r0.apk
2022-04-29 12:40
1.8M
libretro-beetle-pcfx-0_git20220409-r0.apk
2022-04-29 12:40
306K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2022-04-29 12:40
428K
levmar-dev-2.6-r0.apk
2022-04-29 12:40
84K
libwbxml-doc-0.11.8-r0.apk
2022-03-19 10:44
28K
libwbxml-dev-0.11.8-r0.apk
2022-03-19 10:44
9.0K
libwbxml-0.11.8-r0.apk
2022-03-19 10:44
63K
pimd-openrc-3.0_git20220201-r0.apk
2022-03-06 11:31
1.6K
pimd-doc-3.0_git20220201-r0.apk
2022-03-06 11:31
35K
pimd-3.0_git20220201-r0.apk
2022-03-06 11:31
80K
xfce4-hamster-plugin-lang-1.17-r0.apk
2022-03-01 20:45
5.1K
xfce4-hamster-plugin-1.17-r0.apk
2022-03-01 20:45
30K
wshowkeys-1.0-r0.apk
2022-03-01 20:45
12K
wmctrl-doc-1.07-r1.apk
2022-03-01 20:45
5.1K
wmctrl-1.07-r1.apk
2022-03-01 20:45
12K
pully-openrc-1.0.0-r0.apk
2022-03-01 20:45
1.7K
pully-1.0.0-r0.apk
2022-03-01 20:45
2.5K
fpp-doc-0.9.5-r0.apk
2022-03-01 20:44
5.6K
fpp-0.9.5-r0.apk
2022-03-01 20:44
29K
bestline-doc-0.0_git20211108-r0.apk
2022-02-13 15:17
18M
bestline-dev-0.0_git20211108-r0.apk
2022-02-13 15:17
1.7K
bestline-0.0_git20211108-r0.apk
2022-02-13 15:17
19K
cz-viator-hourglass-black-20210706-r0.apk
2022-02-07 13:49
219K
libserialport-dev-0.1.1-r1.apk
2022-02-07 08:11
62K
libserialport-0.1.1-r1.apk
2022-02-07 08:11
18K
findtow-0.1-r0.apk
2022-01-27 02:33
4.3K
sloccount-doc-2.26-r3.apk
2022-01-18 21:37
59K
sloccount-2.26-r3.apk
2022-01-18 21:37
57K
lua-lupa-1.0-r0.apk
2022-01-14 10:21
20K
adjtimex-doc-1.29-r0.apk
2021-12-31 22:27
7.1K
adjtimex-1.29-r0.apk
2021-12-31 22:27
17K
wlclock-doc-1.0.1-r0.apk
2021-12-31 01:27
3.3K
wlclock-1.0.1-r0.apk
2021-12-31 01:27
14K
restart-services-doc-0.17.0-r0.apk
2021-12-22 13:37
5.9K
restart-services-0.17.0-r0.apk
2021-12-22 13:37
12K
nullmailer-openrc-2.2-r4.apk
2021-12-22 13:37
1.6K
nullmailer-doc-2.2-r4.apk
2021-12-22 13:37
10K
nullmailer-2.2-r4.apk
2021-12-22 13:37
84K
circuslinux-doc-1.0.3-r1.apk
2021-12-05 09:37
18K
circuslinux-data-1.0.3-r1.apk
2021-12-05 09:37
1.1M
circuslinux-1.0.3-r1.apk
2021-12-05 09:37
18K
modem-manager-gui-lang-0.0.20-r0.apk
2021-10-29 16:02
129K
modem-manager-gui-doc-0.0.20-r0.apk
2021-10-29 16:02
3.9M
modem-manager-gui-0.0.20-r0.apk
2021-10-29 16:02
309K
ssss-doc-0.5.7-r0.apk
2021-10-29 08:49
3.3K
ssss-0.5.7-r0.apk
2021-10-29 08:49
11K
sct-2018.12.18-r1.apk
2021-10-28 22:51
3.3K
minidyndns-openrc-1.3.0-r3.apk
2021-10-19 23:12
1.8K
minidyndns-doc-1.3.0-r3.apk
2021-10-19 23:12
5.1K
minidyndns-1.3.0-r3.apk
2021-10-19 23:12
12K
libfort-dev-0.4.2-r0.apk
2021-10-03 08:15
17K
libfort-0.4.2-r0.apk
2021-10-03 08:15
28K
spice-html5-0.3.0-r1.apk
2021-09-13 21:46
438K
libsbsms-dev-2.3.0-r0.apk
2021-09-07 12:50
164K
libsbsms-2.3.0-r0.apk
2021-09-07 12:50
105K
vim-airline-doc-0.11-r0.apk
2021-07-27 10:13
12K
vim-airline-0.11-r0.apk
2021-07-27 10:13
86K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2021-07-27 10:12
13K
shine-3.1.1-r0.apk
2021-07-27 10:12
67K
qml-box2d-0_git20180406-r0.apk
2021-07-27 10:12
144K
purple-hangouts-0_git20200422-r0.apk
2021-07-27 10:12
191K
purple-facebook-0.9.6-r0.apk
2021-07-27 10:12
64K
prosody-modules-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.5K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.6K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.7K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.0K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2021-07-27 10:12
8.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.8K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.6K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.0K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2021-07-27 10:12
104K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2021-07-27 10:12
3.7K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2021-07-27 10:12
6.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2021-07-27 10:12
5.6K
prosody-mod-mam-0.11_hg20201208-r0.apk
2021-07-27 10:12
5.9K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.2K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.8K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.0K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.9K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.8K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2021-07-27 10:12
3.3K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2021-07-27 10:12
7.2K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.0K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2021-07-27 10:12
3.1K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.6K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2021-07-27 10:12
1.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2021-07-27 10:12
2.8K
msgpuck-doc-2.0-r1.apk
2021-07-27 10:12
7.3K
msgpuck-dev-2.0-r1.apk
2021-07-27 10:12
32K
msgpuck-2.0-r1.apk
2021-07-27 10:12
1.2K
moka-icon-theme-5.4.0-r2.apk
2021-07-27 10:12
114M
mm-doc-1.4.2-r1.apk
2021-07-27 10:12
14K
mm-dev-1.4.2-r1.apk
2021-07-27 10:12
15K
mm-1.4.2-r1.apk
2021-07-27 10:12
6.6K
luapak-0.1.0_beta5-r0.apk
2021-07-27 10:11
35K
lua5.4-linenoise-0.9-r1.apk
2021-07-27 10:11
16K
lua5.4-editorconfig-0.3.0-r0.apk
2021-07-27 10:11
3.9K
lua5.3-psl-0.3-r0.apk
2021-07-27 10:11
5.3K
lua5.3-linenoise-0.9-r1.apk
2021-07-27 10:11
16K
lua5.3-editorconfig-0.3.0-r0.apk
2021-07-27 10:11
3.9K
lua5.2-psl-0.3-r0.apk
2021-07-27 10:11
5.3K
lua5.2-linenoise-0.9-r1.apk
2021-07-27 10:11
16K
lua5.2-libmodbus-0.6.1-r0.apk
2021-07-27 10:11
8.3K
lua5.2-editorconfig-0.3.0-r0.apk
2021-07-27 10:11
3.9K
lua5.1-psl-0.3-r0.apk
2021-07-27 10:11
5.4K
lua5.1-linenoise-0.9-r1.apk
2021-07-27 10:11
16K
lua5.1-libmodbus-0.6.1-r0.apk
2021-07-27 10:11
8.3K
lua5.1-lcurses-9.0.0-r0.apk
2021-07-27 10:11
22K
lua-psl-0.3-r0.apk
2021-07-27 10:11
1.1K
lua-lut-1.2.1-r0.apk
2021-07-27 10:11
89K
lua-linenoise-0.9-r1.apk
2021-07-27 10:11
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2021-07-27 10:11
19K
lua-libmodbus-0.6.1-r0.apk
2021-07-27 10:11
1.2K
lua-lcurses-9.0.0-r0.apk
2021-07-27 10:11
1.2K
lua-editorconfig-0.3.0-r0.apk
2021-07-27 10:11
1.2K
libvdpau-va-gl-0.4.2-r0.apk
2021-07-27 10:11
57K
libsds-dev-2.0.0-r1.apk
2021-07-27 10:11
3.8K
libsds-2.0.0-r1.apk
2021-07-27 10:11
9.1K
libretro-scummvm-0_git20210325-r0.apk
2021-07-27 10:11
19M
libretro-crocods-0_git20210314-r1.apk
2021-07-27 10:11
258K
libnxml-dev-0.18.3-r0.apk
2021-07-27 10:11
42K
libnxml-0.18.3-r0.apk
2021-07-27 10:11
18K
libmrss-dev-0.19.2-r1.apk
2021-07-27 10:11
53K
libmrss-0.19.2-r1.apk
2021-07-27 10:11
18K
libfishsound-doc-1.0.0-r1.apk
2021-07-27 10:11
75K
libfishsound-dev-1.0.0-r1.apk
2021-07-27 10:11
103K
libfishsound-1.0.0-r1.apk
2021-07-27 10:11
7.9K
libcli-1.10.7-r0.apk
2021-07-27 10:11
25K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2021-07-27 10:11
1.6K
icingaweb2-module-pnp-1.1.0-r1.apk
2021-07-27 10:11
8.9K
hping3-doc-20051105-r4.apk
2021-07-27 10:11
17K
hping3-20051105-r4.apk
2021-07-27 10:11
68K
font-fantasque-sans-normal-1.8.0-r0.apk
2021-07-27 10:10
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2021-07-27 10:10
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2021-07-27 10:10
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2021-07-27 10:10
316K
font-fantasque-sans-doc-1.8.0-r0.apk
2021-07-27 10:10
5.5K
font-fantasque-sans-1.8.0-r0.apk
2021-07-27 10:10
1.2K
font-comic-neue-doc-2.51-r0.apk
2021-07-27 10:10
1.0M
font-comic-neue-2.51-r0.apk
2021-07-27 10:10
249K
deadbeef-soxr-20180801-r0.apk
2021-07-27 10:10
5.2K
cpiped-0.1.0-r0.apk
2021-07-27 10:10
6.3K
colormake-doc-0.9.20170221-r0.apk
2021-07-27 10:10
2.7K
colormake-0.9.20170221-r0.apk
2021-07-27 10:10
4.0K
blip-doc-0.10-r0.apk
2021-07-27 10:10
30K
blip-0.10-r0.apk
2021-07-27 10:10
15K
autoconf-policy-0.1-r0.apk
2021-07-27 10:10
5.5K
arc-icon-theme-20161122-r0.apk
2021-07-27 10:10
4.4M
apache2-mod-realdoc-1-r1.apk
2021-07-27 10:10
4.1K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2021-07-27 10:10
10K
apache2-mod-authnz-external-3.3.3-r0.apk
2021-07-27 10:10
6.9K